Open Access Paper
15 November 2019 Front Matter: Volume 11147
Abstract
This PDF file contains the front matter associated with SPIE Proceedings Volume 11147, including the Title Page, Copyright information, Table of Contents, Author and Conference Committee lists.

The papers in this volume were part of the technical conference cited on the cover and title page. Papers were selected and subject to review by the editors and conference program committee. Some conference presentations may not be available for publication. Additional papers and presentation recordings may be available online in the SPIE Digital Library at SPIEDigitalLibrary.org.

The papers reflect the work and thoughts of the authors and are published herein as submitted. The publisher is not responsible for the validity of the information or for any outcomes resulting from reliance thereon.

Please use the following format to cite material from these proceedings:

Author(s), “Title of Paper”, in International Conference on Extreme Ultraviolet Lithography 2019, edited by Toshiro Itani, Paolo A. Gargini, Patrick P. Naulleau, Kurt G. Ronse, Proceedings of SPIE Vol. 11147 (SPIE, Bellingham, WA, 2019) Seven-digit Article CID Number.

ISSN: 0277-786X

ISSN: 1996-756X (electronic)

ISBN: 9781510629974

ISBN: 9781510629981 (electronic)

Published by

SPIE

P.O. Box 10, Bellingham, Washington 98227-0010 USA

Telephone +1 360 676 3290 (Pacific Time)· Fax +1 360 647 1445

SPIE.org

Copyright © 2019, Society of Photo-Optical Instrumentation Engineers.

Copying of material in this book for internal or personal use, or for the internal or personal use of specific clients, beyond the fair use provisions granted by the U.S. Copyright Law is authorized by SPIE subject to payment of copying fees. The Transactional Reporting Service base fee for this volume is $21.00 per article (or portion thereof), which should be paid directly to the Copyright Clearance Center (CCC), 222 Rosewood Drive, Danvers, MA 01923. Payment may also be made electronically through CCC Online at copyright.com. Other copying for republication, resale, advertising or promotion, or any form of systematic or multiple reproduction of any material in this book is prohibited except with permission in writing from the publisher. The CCC fee code is 0277-786X/19/$21.00.

Printed in the United States of America by Curran Associates, Inc., under license from SPIE.

Publication of record for individual papers is online in the SPIE Digital Library.

00001_PSISDG11147_1114701_page_2_1.jpg

Paper Numbering: Proceedings of SPIE follow an e-First publication model. A unique citation identifier (CID) number is assigned to each article at the time of publication. Utilization of CIDs allows articles to be fully citable as soon as they are published online, and connects the same identifier to all online and print versions of the publication. SPIE uses a seven-digit CID article numbering system structured as follows:

  • The first five digits correspond to the SPIE volume number.

  • The last two digits indicate publication order within the volume using a Base 36 numbering system employing both numerals and letters. These two-number sets start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B … 0Z, followed by 10-1Z, 20-2Z, etc. The CID Number appears on each page of the manuscript.

Authors

Numbers in the index correspond to the last two digits of the seven-digit citation identifier (CID) article numbering system used in Proceedings of SPIE. The first five digits reflect the volume number. Base 36 numbering is employed for the last two digits and indicates the order of articles within the volume. Numbers start with 00, 01, 02, 03, 04, 05, 06, 07, 08, 09, 0A, 0B…0Z, followed by 10-1Z, 20-2Z, etc.

Abe, Tamotsu, 05, 1M

Ausschnitt, Christopher, 13

Bahrenberg, Lukas, 1X

Ban, Chung-Hyun, 1R

Bekaert, Joost, 0E

Bekman, Herman, 06

Blanco, Victor, 0E

Bottiglieri, Gerardo, 0S

Broman, Par, 0S

Brose, Sascha, 1I, 1X

Cai, Jia-Syun, 1J

Cerio, Frank, 0N

Chen, Rui, 1B, 1U, 1V

Chen, Xi, 1B

Chien, Sheng-Wei, 1J

Cornell, Roger, 13

Custers, Rolf, 11

Danylyuk, Serhiy, 1I, 1X

Dauendorffer, Arnaud, 1N

Dejkameh, Atoosa, 0R, 1D

Dekker, Michael, 06

De Simone, D., 0J

Devahasayam, Adrian J., 0N

Devaraj, Lokesh, 0S

de Zanger, Rory, 06

Doebler, Jonathan, 14

Dong, Lisong, 1B, 1U, 1V

Donnelly, Devlin, 0N

Ebeling, Rob, 06

Egodage, Kokila, 1G

Ekinci, Yasin, 0R, 11, 1D

Enomoto, Satoshi, 1K

Erdmann, Andreas, 0S

Fan, Taian, 1B

Finders, Jo, 0D

Foubert, Philippe, 1N

Franke, Joern-Holger, 0E

Fukuda, Hiroshi, 16, 1A

Gabor, Allen, 13

Gargini, Paolo A., 19

Gerngroß, Maik, 1I

Ghafoori, Moein, 1X

Glabisch, Sven, 1X

Grüneberger, Franziska, 1I

Ha, Ui-Jeong, 1R

Hao, Yunyun, 1B

Hayashi, Hideyuki, 1M

Hendrickx, Eric, 0E

Henry, Tania, 0N

Hermanns, Christian F., 1G

Hinsberg, W. D., 17

Ho, Benjamin C. P., 14

Hoefnagels, Rik, 11

Hori, Tsukasa, 05, 1M

Hoshino, M., 0J

Hutchison, Danielle C., 1L

Ip, Vincent, 0N

Janssen, Jochem, 06

Kamei, Yuya, 1N

Kawakami, Shinichiro, 1N

Kazazis, Dimitrios, 0R, 1D

Kersteen, Grizelda, 1G

Kling, Michael, 13

Kohli, Sandeep, 0N

Koster, Norbert, 06

Kozawa, Takahiro, 1K

Kupers, Michiel, 0S

Lee, Chien-Lin, 1J

Lee, Meng H., 0N

Lim, Chae-Yun, 1R

Locans, Uldis, 0R, 1D

Loosen, Peter, 1I, 1X

Lyakhova, Kateryna, 0E

Machida, Kohei, 1K

Mack, Chris A., 0A

Maslow, Mark John, 0E

Mastenbroek, Marcel, 03

Matsumoto, H., 0J

Megiddo, N., 17

Meijlink, Joop, 06

Miyao, Kenichi, 1M

Mizoguchi, Hakaru, 05, 1M

Mochi, Iacopo, 0R, 11, 1D

Molkenboer, Freek, 06

Momonoi, Yoshinori, 1A

Muramatsu, Makoto, 1N

Nafus, Kathleen, 1N

Nagai, Shinji, 1M

Naito, Michiya, 1K

Nakarai, Hiroaki, 05, 1M

Naujok, P., 1P

Nebling, Ricarda, 0R, 1D

Neim, Lilian, 0O

Nguyen, Thu Van, 0N

Nicolai, Kyri, 06

Niroomand, Ardavan, 14

Nyman, May, 1L

Oh, Hye-Keun, 0U, 1R

Olsen, Morgan R., 1L

Park, Eun-Sang, 1R

Persson, Kristin A., 1L

Rathore, A., 0J

Rijnsent, Corné, 06

Rispens, Gijsbert, 0S, 11

Rook, Katrina, 0N

Saadeh, Q., 1P

Saito, Takashi, 1M

Saitou, Takashi, 05

Sakai, Kei, 1A

Sanchez, M. I., 17

Schiffelers, Guido, 0E

Schirmer, Matthias, 1I

Schmidt, Daniel, 13

Schneider, Horst, 1G

Scholze, F., 1P

Schulz, Kristian, 1G

Shin, Hyo-Gyeong, 0U

Shiraishi, Yutaka, 05, 1M

Shirotori, A., 0J

Smith, Bruce W., 0O

Soltwisch, V., 1P

Sonoda, Akihiro, 1N

Soumagne, Georg, 05, 1M

Srinivasan, Narasimhan, 0N

Stern, Rebecca D., 1L

Stollenwerk, Jochen, 1I, 1X

Storm, Arnold, 06

Stortelder, Jetske, 06

Szafranek, Bartholomaeus, 1G

Takashima, Yuta, 1M

Tanaka, Hiroshi, 05

Timmermans, Frank J., 0D

Tsai, Kuen-Yu, 1J

Tseng, Li-Ting, 11, 1D

Tu, Fan, 1G

Turner, Paul, 0N

Ueno, Yoshifumi, 1M

van Adrichem, Paul, 0E

Vandenberghe, G., 0J

van den Hoogenhoff, Twan, 0S

van Lare, M.-Claire, 0D

van Lent-Protasova, Lidia, 11

Van Look, Lieve, 0E

van Putten, Michel, 06

Vesters, Y., 0J

Vockenhuber, Michaela, 11

Wahlisch, Felix, 0E, 0S

Wallraff, G. M., 17

Wang, Xiaolong, 11

Watanabe, Yukio, 05, 1M

Wei, Yayi, 1B, 1U, 1V

Wu, Chien-Ching, 06

Wu, Ruixuan, 1U

Yabu, Takayuki, 1M

Yamada, Tsuyoshi, 05

Yamamoto, Kenji, 0N

Yanagida, Tatsuya, 05, 1M

Ye, Tianchun, 1B, 1U

Zakharov, Lev N., 1L

Zhao, Rongbo, 1V

Conference Committee

Conference Chairs

  • Toshiro Itani, Osaka University (Japan)

  • Paolo A. Gargini, Stanford University (United States)

  • Patrick P. Naulleau, Lawrence Berkeley National Laboratory (United States)

  • Kurt G. Ronse, IMEC (Belgium)

Conference Program Committee

  • Anuja De Silva, IBM Research - Almaden (United States)

  • Igor V. Fomenkov, Cymer, LLC (United States)

  • Eric Hendrickx, IMEC (Belgium)

  • Winfried Kaiser, Carl Zeiss SMT GmbH (Germany)

  • Bryan S. Kasprowicz, Photronics, Inc. (United States)

  • Takahiro Kozawa, Osaka University (Japan)

  • Marie E. Krysak, Intel Corporation (United States)

  • Ted Liang, Intel Corporation (United States)

  • Chris S. Ngai, Applied Materials, Inc. (United States)

  • Eric M. Panning, Intel Corporation (United States)

  • Moshe E. Preil, KLA Corporation (United States)

  • Satoshi Tanaka, Toshiba Memory Corporation (Japan)

Session Chairs

  • 1 Plenary Session: Joint session with conferences 11147 and 11148

    Jed H. Rankin, GLOBALFOUNDRIES Inc. (United States)

    Takahiro Kozawa, Osaka University (Japan)

  • 2 EUV Scanner, Source, and Industrialization

    Winfried M. Kaiser, Carl Zeiss SMT GmbH (Germany)

    Sang Hun Lee, Intel Corporation (United States)

  • 3 EUV Stochastic I

    Gregory M. Wallraff, IBM Research - Almaden (United States)

    Eric Hendrickx, IMEC (Belgium)

    Selected Poster Speed Talks: Joint Session with conference 11147 and 11148

    Shalini Sharma, JSR Micro, Inc. (United States)

    Julius Joseph S. Santillan, Osaka University (Japan)

  • 4 EUV Mask and Lithography Integration: Joint Session with conferences 11147 and 11148

    Frank E. Abboud, Intel Corporation (United States)

    Rik Jonckheere, IMEC (Belgium)

  • 5 EUV Resist I

    Huixiong Dai, Applied Materials, Inc. (United States)

    Toru Fujimori, FUJIFILM Corporation (Japan)

  • 6 EUV Blank and Films: Joint Session with conference 11147 and 11148

    Onoue Takahiro, HOYA Corporation (Japan)

    Ted Liang, Intel Corporation (United States)

  • 7 EUV Defects, Inspection and Characterization: Joint Session with conferences 11147 and 11148

    Thomas Scherübl, Carl Zeiss SMS Ltd. (Israel)

    Abbas Rastegar, Applied Materials, Inc. (United States)

  • 8 EUV Pellicle: Joint Session with conferences 11147 and 11148

    Emily E. Gallagher, IMEC (Belgium)

    Naoya Hayashi, Dai Nippon Printing Company, Ltd. (Japan)

  • 9 EUV Resist and Material

    Takahiro Kozawa, Osaka University (Japan)

    Heiko Feldmann, Carl Zeiss SMT GmbH (Germany)

  • 10 High-NA and EUV Imaging

    Kars Troost, ASML Netherlands B.V. (Netherlands)

    Harry J. Levinson, HJL Lithography (United States)

  • 11 EUV Stochastic II and Future

    Kurt G. Ronse, IMEC (Belgium)

    Anuja De Silva, IBM Thomas J. Watson Research Center (United States)

Introduction

The International Conference on Extreme Ultraviolet Lithography (EUVL) was organized this year by JSAP (the Japan Society of Applied Physics), Eureka / LBNL (Lawrence Berkeley National Laboratory), imec, and SPIE and held 15–19 September 2019, in Monterey, California (United States).

For the third year, this conference was co-organized with the Photomask conference (PM) and was well attended. More than 587 attendees participated in the sessions which were divided into joint sessions and individual sessions for EUVL and PM.

At the end of the conference, the EUVL Symposium Steering Committee jointly discussed the community’s progress in the focus areas identified in 2018 and formulated focus areas for EUVL extendibility with high numerical aperture (NA), towards 2020.

This year, semiconductor device manufacturers announced the application of EUVL to their 7-nm process technologies indicating the long-awaited insertion of EUVL into high volume manufacturing. This was made possible through the steady progress in EUV scanner power and stability, advances in patterning materials, improvements in cleaner EUV scanner environments, and availability of first-generation pellicles. Also of note, the full suite of actinic EUV mask tools is now available: defect review, blank inspection, and pattern inspection.

For EUVL extendibility into future nodes with high numerical aperture (NA), attention to various focus areas was identified. Focus area one is the availability of high-resolution patterning materials and mitigation of stochastics (which lead to roughness and failures or defects). To achieve this, it was agreed that new materials and inventions are necessary. Focus area two is how to keep EUV masks defect free. Pellicles are being considered as a solution, but improvements in pellicle material lifetime and transmittance need to be pursued. Focus area three is the extension of EUV mask infrastructure for application in high NA. It was emphasized that alternative mask absorber materials will be a significant key for extendibility. Finally, focus area four is on EUV scanner systems and power efficiency. Evidence of higher EUV source power has been demonstrated so it is now a question of how to deliver this with maximum efficiency when applied to high NA.

In summary, 2019 marked a tremendous milestone for EUVL. Nevertheless, much work remains to be done to ensure the long-term extendibility of the technology. The next International Conference on EUVL is planned 20–24 September 2020 in Monterey, California (United States) and will again be co-organized with the PM conference.

Toshiro Itani

Paolo A. Gargini

Patrick P. Naulleau

Kurt G. Ronse

© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
"Front Matter: Volume 11147", Proc. SPIE 11147, International Conference on Extreme Ultraviolet Lithography 2019, 1114701 (15 November 2019); https://doi.org/10.1117/12.2555517
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet lithography

Photomasks

Stochastic processes

Pellicles

Extreme ultraviolet

Imaging systems

Inspection

Back to Top