Presentation + Paper
22 February 2021 Scatterometry of nanowire/nanosheet FETs for advanced technology nodes
Author Affiliations +
Abstract
Here, we report the measurement of the dielectric spacer etch process for nanowire and nanosheet FET processes. A previously described Nanowire Test Structure (NWTS) was used for this study.[1, 2, 3] This structure has alternating Si/Si1-xGex/…/Si multilayers. Subsequent to the selective etching of the Si1-xGex layers (cavity etch), a silicon nitride (SiN) dielectric layer was deposited on the NWTS. Here we report on the use of Mueller Matrix Spectroscopic Ellipsometry based Scatterometry (MMSE) to measure the thickness of the SiN dielectric layer after deposition and after trim etch steps. Four different amounts of trim etch were characterized.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Madhulika Korde, Subhadeep Kal, Cheryl Alix, Nick Keller, G. Andrew Antonelli, Aelan Mosden, and Alain C. Diebold "Scatterometry of nanowire/nanosheet FETs for advanced technology nodes", Proc. SPIE 11611, Metrology, Inspection, and Process Control for Semiconductor Manufacturing XXXV, 116111S (22 February 2021); https://doi.org/10.1117/12.2584751
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Scatterometry

Field effect transistors

Metrology

Nanowires

Process control

Etching

Inspection

Back to Top