PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Optical lithography is moving into an era of refinement where lenses are continuously improving but must be superb to utilize the resolution enabled by new complex reticles. Phase Measuring Interferometry used during lens fabrication is not available to the lithographer who must rely on ingenuity in reticle design to form measurable, aberration sensitive images in photoresist. This ingenuity, as reported in the papers that follow, is enabling lithographers to measure lens aberrations on their tools with a precision nearly that of a PMI. The principles behind these photoresist methods are reviewed in this paper to help enable critical review of those that follow.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The aberration ring test is used to determine the low and high order lens aberrations. The method is based on two key elements: the linear response of ART to aberrations and the use of multiple imaging conditions. Once the model parameters are determined by means of simulations, the Zernike coefficients are solved from a set of linear equations. The Zernike coefficients thus obtained are correlated to interferometric lens data and to line width measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Linewidth control across an exposure field is becoming increasingly challenging as design rules shrink. Contributions to linewidth variation can arise from the reticle, the exposure tool and the resist process. For the exposure system, errors may originate from the illuminator ste-up, the projection lens aberrations using a new reticle and measurement technique. The technique uses a special reticle, which converts wavefront phase errors to displacements on the wafer. These offsets can be measured using conventional overlay tools with greater speed and accuracy than SEM measurements of small linewidths. Reconstruction of the wavefront using this data provides a more reliable in-situ characterization of aberrations.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lens aberration has been a kind of nuisance for lithographers. As feature size is getting smaller, aberration caused malfunction is getting more important and should be considered for correct use of lens. Therefore, the accurate lens aberration measurements are needed to predict patterning characteristics of critical layer of a device at given lens and to decide whether the lens is usable for the device or not. In this paper, in-situ interferometer is used for aberration measurement and measured aberrations were compared with phase measurement interferometer data for accuracy evaluation. Finally, printed patterns and simulated results were compared. As a practical application of measured aberration, classification of lens for a given device was performed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Zernike aberration coefficients are routinely used in simulation exercises for lithographic printing and the discovery of Zernike values is considered strategic for many lithographic process engineers. Thanks to progress in actinic interferometry and resist based evaluation techniques, reliable estimates of Zernike component magnitudes are possible in many cases. It is expected that wavelength reductions from 248 nm and 193 nm to 157 nm along with continued use of aspheric elements in high NA designs will lead to an increase in the component of wavefront aberration that is not adequately characterized by a 36 term Zernike polynomial. Wavefront errors not well characterized by a conventional Zernike fit are variously termed mid- spatial frequency errors, lack of fit, residuals, flare, scatter etc. This paper explores the importance, characterization and analysis of these residual errors and attempts to clarify the boundaries between the various classes of wavefront error. We find that an accurate assessment an model of lens performance requires the inclusion of both residual wavefront errors and flare effects in addition to the customary 36 term Zernike expansion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we present results comparing design, mask images and wafer images for a variety of features. By extracting the outline of the actual mask pattern we can use simulations to evaluate the relative contribution of mask pattern we effects to the overall wafer proximity effects. Although the actual patterns on the mask were measurable different from the design it was found that the differences between the aerial images simulated using mask images and the original design were quite similar. As a result of this study we propose that comparison of aerial images between design and actual mask patterns be used as the best method of characterizing OPC reticles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Subresolution assist features, when used in conjunction with off-axis illumination, have shown great promise for reducing proximity effects while improving lithographic process window. However, these patterns result in an increased emphasis on the mask manufacturing process, primarily in the areas of mask writing and inspection. In choosing a design strategy, one must be careful to account for the mask making capabilities, such as write tool grid size and linearity, along with the lithographic effect of errors in the mask making process. In addition to mask errors, stepper lens aberrations and expected process variations can also have a large influence on design rules. Generally, design tradeoffs must be made to balance the impact of these for the best overall lithographic performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Assist features are an essential component of developing an integrated imaging system that can produce near resolution limit sized semiconductor features. Of the features in use today, binary and phase-shifted scattering and anti- scattering bars are proving critical to the success of making current and next generation devices in production. These features modify the diffraction pattern of isolated lines to give them some dense line imaging attributes thus making it easier to overlap and enhance the process window for these features. They have also been shown to reduce the mask error enhancement factor and the effect of aberrations. This paper provides an analytic description of these assist features and uses this description to explain how and why these features work. Working in frequency space, it also demonstrates how to use these assist feature with illuminator and phase-shift image enhancement techniques to build a robust semiconductor imaging process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The evaluation of 'future' SRAM designs often involves aggressive patterning techniques. This is especially true for the prototyping stage of a product because the target 'production' tools are either unavailable or suffer from immature processes. This paper describes an OPC implementation method for 0.18 micrometers technology production of small SRAM cells of logic gate levels. A model based proximity correction has been applied to compensate the pattern distortions encountered in DUV lithography patterning. The first step is to generate a process specific empirical model for OPC simulation. To judge the accuracy of the OPC model, a set of linewidth measurements including linewidth versus pitches and linewidth versus linearity could be used to do a model prediction verification. However, linewidth confirmation is only in 1D. A 2D confirmation is important to ensure the success of OPC because there are lots of irregularly shaped layouts in a random logic device. The validity of OPC model prediction also needs to be verified for low contrast areas in patterning using focus exposure matrices by comparing the printed result to the model simulation. This procedure is very important in pushing chip density. Some experimental result from our approaches are discussed in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report here a lithography process for 0.13 micrometers lines using a high NA 248 nm scanner and attenuated phase-shifting masks (AttPSM) employing optical proximity correction with optimized assisting features. Our current result indicate a common depth of focus of 0.5 micrometers and exposure latitude of 10 percent for lines with line/space ratios from 1:1.2 to isolated. The mask error factor with assisting feature ranges from 1.2 to 1.5 depending on the duty ratio. The line edge roughness is less than 8 nm for our current KrF resist with AttPSM. We also explore the feasibility of strong and weak quadrupole illumination for process enhancement. The results show promising potential for proximity reduction and process window enhancement. Considering practical implementation in foundry fabs, we decided to concentrate our efforts on AttPSM with annular illumination plus OPC with assisting features. Our investigation indicates that 248 nm AttPSM technology is very attractive for 0.13 micrometers device fabrication.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
While the use of phase shift masks can improve CD control and allow the patterning of smaller poly gate features, it also introduces new error terms for overlay. Four error terms are discussed: increased sensitivity of image placement to coma-type aberrations, image placement shifts resulting form phase errors, image placement shifts resulting from intensity imbalance between zero and 180 degrees shifter regions, and phase shift mask to trim mask overlay issues. These overlay issues become increasingly important for lower k1 patterning. Likewise, phase defect printability is magnified for lower k1 patterning, increasing the requirements for phase shift mask inspection and repair.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For the fine patterning of gate layers on embedded DRAM is logic devices with a design rule of 0.13 micrometers and below, we have optimized the double exposure technique with an alternative phase shifting mask using KrF excimer laser exposure. Based on the study over lithography process latitude with respect to exposure-defocus window, overlay margin and mask fabrication feasibility, we have adopted a process as that patterns in logic circuits are delineated by the combination of alt-PSM and a trim mask made of Cr shielding patterns on an attenuated phase shifting mask, while patterns in DRAM cells are delineated by the latter att-PSM exposure only. With considering a mask error enhancement factor, optical condition and optical proximity effect correction for the alt-PSM and trim mask are also optimized, then, 0.13 micrometers embedded DRAM in logic patterns have been fabricated with a sufficient common lithography process window by the KrF excimer laser exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Two lithography strategies - of alternating PSM using double expose method (DEM) and high transmission attenuated PSM - were investigated to assess their capability for printing 0.1 micrometers gate. In order to do that, the optimization of each process has been carried out for maximizing the process window; of depth of focus (DOF) and expose latitude (EL), to make them satisfy process requirement generated by focus and expose budget study. The key components of optimization are finding the best NA and sigma, the optimum bias for isolated lines and dense lines and the optimum transmission of att PSM. Then, the impacts of some critical lithographic parameters such as phase error effects in APSM, proximity effects and mask error factor (MEF) were determined with experimental data. As final answer to the question of process capability of two lithography techniques for 0.1 micrometers gate patterning, CD control analysis was made to see if they satisfy our gate CD control requirements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we describe the use of sparse aerial image simulation coupled with process simulation, using the variable threshold resist (VTR) model, to do optical and process proximity correction (OPC) on phase shift masks (PSM). We will describe the OPC of PSM, including attenuated PSM, clear field PSM, and double exposure PSM. We will explain the method used to perform such OPC and show examples of critical dimension control improvements generated from such a technique. Simulations, PSM assignment and model based OPC corrections are performed with Calibre Workbench, Calibre DRC, Calibre PSMgate and Calibre OPCpro tools from Mentor Graphics. In conclusion we will show that PSM techniques need to be corrected by a phase aware proximity correction tool in order to achieve both pattern fidelity as well as small feature size on the wafer in a production environment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Various factors, such as lens aberrations, system vibration and the choice of illumination polarization can degrade the level of modulation, and hence, image quality. This paper discuses the sensitivity of multiple feature types to these factors. It is shown that aberration sensitivity increases linearly with decreasing resolution, scaled to the Rayleigh criteria. An analysis of the vibration tolerance is done for transverse and axial vibration planes, where the effects on the process window and CD uniformity are measured. The vibration is shown to decrease the process window greater for low contrast images and is shown to scale directly with the resolution. The new millennium will usher in optical system with very high NA lenses for 248nm, 193nm and 157nm. This paper re-examines the role of the polarization on required specifications of the exposure tool optics. It is found that tight polarization specifications with < 10 residual polarization will be needed for future systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Characterization of line width variation by a three-step methodology is presented. Causes of line width variation with distinct spatial signatures are first isolated by spatial analysis. Sources with similar spatial signatures are then separated by contributor-specific measurements. Unanticipated components are lastly identified by examination of the residual from spatial analysis. Significant sources include photomask error, flare, aberrations, development non-uniformity, and scan direction asymmetry. These components are synthesized to quantify the contributions from the three modules of the patterning process: photomask, exposure system, and post-exposure processing. Although these modules are independent of one another their effects on line width variation may be correlated. Moreover, the relative contributions of the modules are found to vary with exposure tool, development track, and lithography strategy, affirming the usefulness of the methodology in process tracking and optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As ArF lithography continues to mature, it can be expected that, KrF lithography combined with reticle and illumination enhancements will be preferred techniques, for cost- effective 130-nm node, in particular for low-end microprocessors, random logic and DRAM designs. There are tow main enhancement routes to extend KrF lithography. The first one entails the use of alternating phase shift masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resolution, R, in optical lithography is often described by the Rayleigh equation: R equals k1(lambda) /NA. Since the 0.25 um generation there has been a trend of aggressive gate length reduction for high performance devices. Leading edge logic technologies require gate CDs equal to ½to 2/3 the wavelength of the exposure system. Even with high NA steppers and scanners low k1 patterning is a requirement. Development of processes utilizing OPC and PSM technology is critical to achieving adequate process latitude and CD control. As k1 factor falls below 0.5 the image quality and contrast degrades substantially. One result of low contrast images is that the CD variation in the photomask gives rise to larger than expected printed CD changes: the so-called MEEF. The MEEF can be simply defined as the ratio of the change of the resist feature width to the change in the mask feature width, assuming constant process and illumination conditions. For a 4x mask the MEEF can be calculated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The characterization of the MEEF for a variety of feature types under a variety of processing conditions is presented. Analytic expressions for the aerial image MEEF under simple incoherent and coherent illumination conditions are derived, including the effect of defocus. Eros in processing, such as focus and exposure errors, also affect the value of the MEEF. Thus, another approach to evaluating the impact of mask errors is to look at the reduction in the process window caused by these errors. Using simulation, a study of the impact of mask CD errors on the overlapping process windows is presented and used as the basis for realistic mask CD specifications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As mask features scale to smaller dimensions, the so-called 'vertical effects' which, to present, have mostly been neglected, become important. The usual assumption of constant scattering coefficients for different angles of incidence needs to be reconsidered as the aspect ratio of mask features increases. The use of higher NA systems introduces high obliquity field components that further compound the problem. Rigorous electromagnetic simulation is used to investigate the scattering properties of various lithographic masks. Scattering coefficients are calculated and compared for the classical binary mask, masks with OPC features and phase-shifting masks under wafer printing and inspection conditions. Specific attention is paid to quantifying the limitations of the constant scattering coefficient assumption. An efficient scheme to calculate aerial images in situations with non-constant scattering coefficients is presented. Aerial images calculated with this scheme are compared to aerial images calculated under the constant scattering coefficient assumption. Binary mask with and without OPC are shown to not exhibit scattering dependence on angle of incidence. Phase shift masks require rigorous electromagnetic simulation and some, such as the dual trench, exhibit moderate scattering scattering dependence on the angle of incidence must be considered because of the high angles involved. A tabulated summary of mask simulation is presented in the conclusion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
IDEAL has been proposed as a new double exposure technique to realize k1 equals 0.3 optical lithography. We have applied this technique to complicated 2D structures that can be found in a poly-level of a memory test pattern device. Experimental results showed that IDEAL has a quite large process window also on structured substrate such as SiN and poly-silicon. For the CD target of 0.13 micrometers , exposure latitude larger than 10 percent with a depth of focus larger than 0.5 micrometers was achieved by IDEAL exposure. The alignment latitude of the two reticles used to compose the final lithographic image was larger than +/- 40 nm, moreover line-end shortening effects are also improved by IDEAL exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An approach to spatial frequency filtering is described where 'zero order' mask diffraction information is reduced, enhancing imaging performance. This method of resolution enhancement is accomplished by filtering in an alternative pupil plane of the objective lens, specifically near or beyond the mask pellicle plane. By introducing an angular specific transmission filter into the Fraunhofer diffraction field of the mask, user accessibility is introduced, allowing for a practical approach to frequency filtering. This is accomplished using a specifically designed interference filter coated over a transparent substrate. Analysis of the approach is given along with result from experiments for contact features using a full field high NA 148nm exposure tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We demonstrate a technique to print. high-density windows using attenuated phase shift mask, negative photoresist and ArF exposure tool and compare our result with that obtained using a binary mask and positive photoresists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A completely new concept for designing the illumination aperture filter is suggested. From experimental or simulative methods, we have extracted the performance of every individual beam component on the illumination plane. The optimal apertures are then obtained by superimposing the best components that meet the requirements demanded by the specific photo process. Different kinds of optimal apertures were successfully implanted to deal with different process problems. Therefore, it is called the customized illumination aperture filter (CIF). The zero 1D OPE CIF, as a proof of concept, was designed to eliminate the OPE of low k1 process. Without any OPC, 0.6micrometers DOF of the common ED window was obtained, where k1 equals 0.39 for our NA equals 0.55 stepper to print. 0.18 micrometers line patterns, T push to smaller k1, another CIF was designed to maximize the individual DOF and overcome the reduced power problem accompanied with the typical aggressive OAI. Using this CIF, we achieved 1.1 micrometers common DOF with 7 percent EL for 0.18 micrometers lien patterns. The CIF doubles the power of the Nikon's strong quadrupole, shrine. An ultimate resolution limit of 0.11 micrometers line pattern was reached as well with the CIF. Finally, a contact/via CIF was designed combined with a halt-tone PSM. The CIF gives about 0.8 micrometers common DOF with 7 percent EL for 0.2 micrometers holes and 0.7 micrometers DOF for 0.17 holes using thinner resist. The CIF approach is, therefore, proven to be a cost effective and relatively easy realizable alternative to the alternating PSM for extremely low k1 process applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The acceleration of the design rule shrinkage and delayed ArF technology currently put pressure upon KrF technology for device development difficulties, so that the extension of KrF lithography to 220nm pitch patterning is under test carefully without using ArF technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithographic imaging in the presence of lens aberration result sin unique effects, depending on feature type, size, phase, illumination, and pupil use. As higher demands are placed on optical lithography tools, a better understanding of the influence of lens aberration is required. The goal of this paper is to develop some fundamental relationships and to address issues regarding the importance, influence, and interdependence of imaging parameters and aberration.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The trend of critical dimension (CD) asymmetry due to coma aberration of exposure tool and the effectiveness of assist pattern (AP) to minimize the CD asymmetry are investigated in line and space patterns by simulation and experiment. The optimum space of AP form main bar pattern to correct the CD asymmetry is about 0.3-0.4 um and seems to be insensitive to the target CD of main bar, NA and degree of coherence of lithography tool, and AP size. The results, in case of the application of AP with optical proximity correction rules achieved by simulation and experiment to logic device with 0.18 micrometers gate length, show the CD asymmetry of metrology error level and about 50 percent in-field CD uniformity improvement, as compared with those obtained before Ap application. Therefore, it is evident that the use of AP is very effective and useful to correct the CD uniformity and the CD asymmetry simultaneously.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As product error budgets continue to shrink with decreasing ground rule, more attention is being paid to the effects of exposure tool lens aberrations. Interaction of the reticle pattern with the lens can cause both image placement shifts as well as variations of critical dimensions across the exposure field. A particularly subtle effect is the interaction of the reticle pattern orientation with lens aberrations. It can be shown that there is often a large difference in aberration-driven errors for patterns oriented differently relative to the lens axis. This paper develops the physical model behind this phenomenon as a function of pattern aspect ratio and orientation. Specific examines are given in reference to line width control and overlay for typical DRAM patterns, using both simulation and experimental dat. In addition, optimization schemes for pattern orientation are explored, as well as implications for practical implementation on exposure tools .We also show that pattern orientation can be optimized on a level-by- level basis to provide great benefit in CD and overlay error performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pattern fidelity has always been the key consideration in optical proximity corrections. However, it is equally important that overlap of underlying or overlying contact or via meets the requirements given in design rules. The normal OPC approach of minimizing the edge placement error with respect to the corresponding mask patterns may not give sufficient overlap over contact and via. A new OPC approach is proposed. The new approach considers tow parameters for optimization - edge placement errors of printed edge with respect to corresponding mask patterns, and overlap of the layer over contact and/or via. An evaluation is done by applying OPC, with normal approach and with the new OPC, to metal 1 of a 64M SRAM cell. Pattern fidelity of metal 1 and overlap of metal 1 over contact and via are compared. Results show that the new OPC approach gives both good pattern fidelity and improved overlap over contact and via. The only drawback is the significantly higher run time, due largely to the printing of resist images for overlap analysis.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thorsten Schedel, Alain B. Charles, Dietmar Ganz, Steffen R. Hornig, Guenther Hraschan, Wolfram Koestler, John G. Maltabes, Karl E. Mautz, Thomas Metzdorf, et al.
SEMICONDUCTOR300 was the first pilot production facility for 300mm wafers in the world. This company, a joint venture between Infineon Technologies and Motorola, is working to develop a manufacturable 300mm wafer tool set. The lithography tools include I-line steppers, a DUV stepper, and two DUV scanners. These tools are used to build 64M DRAM devices and aggressive test vehicles. Data will be presented on the mix-and-matching performance between DUV scanners and I-line steppers. Process-related data on CD within-field and across wafer sampling for selected tool types were investigated. The process capability of the current tool set for 0.25 micrometers and 0.18 micrometers devices were compared. Resolution performance of the scanner with its 0.68 numerical aperture was studied. Dense and isolated printed pattern performance was measured with in-line metrology. 300mm wafers are sensitive to backside defectivity, and therefore the wafer chuck design plays an important role in achieving the desired pattern transfer performance. The performance of the different chuck types and their sensitivity to incoming backside wafer contamination levels was studied. Rework data was used to assist in characterizing the exposure dose matching and chuck type performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effectiveness of node-connection phase-shifting mask (PSM) was investigated experimentally. In this method, the original design patterns are decomposed into several alternating PSM sub-patterns bas don geometrical rules, and multiple-exposure of these masks reconstructs the original design patterns. This is suitable for random logic interconnects, where wire features are on the 'DA-grid'. We applied this method to patterning a 0.3-micrometers pitch random interconnect with a conventional DUV exposure tool Random interconnect patterns with 0.3-micrometers pitch random interconnect with a conventional DUV exposure tool. Random interconnect patterns with 0.3-micrometers pitch were decomposed using in-house geometrical Boolean operators into three PSMs and were multiply exposed onto the same region of wafer using a KrF exposure tool. Though this is preliminary experiment without mask/process optimization, it shows a possibility of below 0.3-micrometers pitch logic interconnect with KrF tools. Thus, combining this with the phase-edge PSMs or some 'slimming' technologies for shrinking gate-length, the 0.1-micrometers generation logic LSIs are expected to be achieved with conventional DUV exposure tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sub-50 nm isolated line pattern is successfully formed by KrF lithography with DOF larger than 0.5 micrometers . This is performed by using a phase edge type phase shift mask, a special photo resist and a partial dry ashing process. Because all of these elemental techniques currently becomes mature, this method is one of promising candidates for sub- 50 nm isolated line pattern formation. As a conclusion, we consider KrF lithography can be extended to sub-50 nm high speed logic node.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A new resolution enhancement technique for photolithography that makes use of dark-field (DF) illumination was recently reported. In a DF projector, light from the condenser illuminates the reticle at such a steep angle that zero order light is lost from the system. The mask for a DF projector contains a series of sub-resolution gratings that diffract light into the lens and define features so be printed on the wafer. These sub-resolution gratings can be employed to precisely control the amplitude, phase and direction of light diffracted from each point on the mask. Given such precise control, many effect is can be produced with DF lithography that are not possible with enhanced optical lithography, e.g., terminating lines and resolving phase conflicts.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Today we see that 248nm lithography is pushed to the region of very low k1-factors. The first 193nm systems are now on the market, but the technology needs still needs to mature before its optimum performance can be reached. On the other hand, development of 157nm systems has been started in order to push optical lithography to the 100nm and 70nm nodes. In this paper simulations are used to show how far optical lithography could be extended assuming mature tools and resists. The simulations are performed using Prolith/2 and Solid-C in combination with Monte Carlo calculations to predict ED-windows and CD control at 193nm and 157nm illumination. Different resolution enhancement techniques are invested for dense and isolated lines and contact holes: off-axis illumination, phase shifting masks and high NA settings. Once the optimum NA-sigma combinations for maximum process windows are determined, CD control is calculated by taking into account variations in focus, dose, reticle CD and phase and lens aberrations. From these CD control calculations the most important contributions to CD variations for the different RET can be identified, showing also where restrictions have to be put to obtain sufficient CD control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This work looks at the application of chromeless phase-shift masks to sub-100 nm gatelength SOI transistor fabrication. The double-exposure technique of Numerical Technologies is extended to the chromeless-edge case. Two masks are used in this method. The first is a darkfield mask with chromeless edges defining the minimum geometry gates and the second is a binary blockout mask which also patterns the larger gate features. This approach provides considerably enhanced resolution performance compared with alternating aperture while still preserving good process latitudes. The chromeless mask fabrication approach is discussed. A simple, single step dry etch is used with no minimum geometry features, thus simplifying mask fabrication. We employed an 0.6 NA, DUV tool for this work together with commercially available resist and anti-reflection layers. Lithography results for k1 factors down to 0.10 and 0.3 are presented. This corresponds to CDs of 40 nm and 125 nm on our Canon EX-4, 248nm stepper. Excellent pattern transfer into polysilicon was achieved using a high density plasma etch process producing gate features down to 25 nm linewidths. We discuss the application of this method to the fabrication of sub-100 nm gate-length fully-depleted SOI CMOS transistors. We have fabricated SOI CMOS transistors with excellent short channel behavior down to 50 nm physical gate lengths. This method enables the development of deep sub-100 nm gate length CMOS technologies using standard 248- nm exposure sources.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithographers are preparing their processes for the 130nm node. About one year ago, first generation full field ArF step and scan systems have been introduced in a number of fabs. These systems have lenses with numerical apertures in the order of 0.6. At the same time, 0.7 NA KrF step and scan systems have been introduced as well. Also last year, KrF resists were shown to be much more mature than ArF resists.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the delivery of ful field ArF steppers and scanners to the leading edge IC manufacturers in 1999 for process development work, the industry is poised to implement ArF lithography in volume production in a few years from now. The introduction of ArF lithography in large volume deice manufacturing will be at the 130-nm technology node, with a k1-factor of roughly 0.4. This will represent the first time in the history of the semiconductor industry when the critical feature size of first generation devices for a given technology node is significantly smaller than the lithographic wavelength used in the patterning. Accordingly, there are a number of integration issues that must be resolved to ensure the successful implement of this technology. Such issues include antireflection coatings issues like reflectivity control and thickness, and the tradeoffs between using organic and inorganic ARCs; resist material issues like optical absorption, feature profile, CD uniformity and line edge roughness; and etch issues like resist loss, line edge roughening, endcap pullback, etc. For instance, one of the major problems with most currently available 193-nm resists is their high optical absorption at the exposure wavelength. This necessitates the use of significantly thinner 193-nm resist films than have been the case in earlier lithographic regimes, but etch considerations preclude this option as these materials do not have bey good etch stability. A balance between absorption and etch requirements must therefore be struck to ensure the successful implementation of this lithography. The above outlined integration issues involved in striking this balance are the subject of this paper, and they will be presented from a patterning perspective. Our exposures are made with ASML/900 full field scanner.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we investigated the feasibility of printing sub-0.13 micrometers device patterns with ArF and KrF lithography by using experiment and simulation. To do this we evaluated various cell structures with different sizes from 0.26 micrometers to 0.20 micrometers pitch. In experiment 0.60NA ArF and 0.70NA KrF exposure tools, commercial and in house resists and bottom anti-reflective coating (BARC) materials are used. To predict and compare with experimental data we also used our developed simulation tool HOST base don diffused aerial iamge model. We found that ArF lithography performance is a little bit better than KrF and therefore 0.70NA KrF lithography can be used up to 0.12 micrometers design rule device and 0.60NA ArF lithography can be used up to 0.11 micrometers . But to get more than 10 percent expose latitude, 0.13 micrometers with KrF and 0.12 micrometers with ArF are the minimum design rule size. However to obtain process margin we had to use extreme off-axis illumination (OAI) which results in large isolated- dense bias and poor linearity including isolated pattern. Using higher NA can reduce ID bias and mask error factor. For contact hole it is more effective to use KrF lithography because resist thermal flow process can be used to shrink C/H size. Our developed ArF resist and BARC shows good performance and we can reduce k1 value up to 0.34. Through this study we verified again that ArF lithography can be applied for sub-0.13 micrometers device through sub-0.10 micrometers with high contrast resist and 0.75NA exposure tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have proposed the combination of the new transparent phase-shifting mask with the off-axis illumination, and have carried out the feasibility study on it, for the objective of the extension in optical lithography. We have demonstrated that 0.10micrometers lien pattern fabrication is achieved with sufficient process margin by applying the transparent phase-shifting mask to ArF lithography. Especially, this new technology is characterized that the resolution of isolated lien pattern in more strongly enhanced as compared with other resolution enhancement technologies, the combination of the attenuated phase- shifting mask with the off-axis illumination and the alternating phase-shifting mask technology. However, the application of the transparent phase-shifting mask is restricted within limited pattern size less than about 0.15micrometers . For applying this new technology to actual logic device patterns, we have also proposed the embedded transparent phase-shifting mask in which the transparent phase shifter and the opaque layer are assigned to the fine features and the larger features, respectively. In the next stage, we will study the application to logic device patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we have demonstrated an electrical CD process capable of resolving linewidth swell below 100 nm compatible with a standard polysilicon patterning flow. Appropriate selection of dopant species combined with a reduction in anneal temperature were in the primary means for achieving a physical to electrical linewidth bias of 20 nm. These findings supported our hypothesis that dopant our-diffusion was the primary source of the bias. Also, ECD metrology is applied to quantifying poly CD variations in the presence of substrate topography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the delivery of 193nm exposure tool to several production fabs in the past year, we are now able to identify potential issues with this technology. For 193 nm lithoghpray, lifetime issues associated with the optical elements have been a big concern in the industry. Early learnings of optical component lifetimes for a 193nm step- and-scan system in pilot lien operation are reviewed. The performance and stability of line-narrowed ArF excimer lasers have also been unknowns in a production fab until now. High voltage and pulse length trends on the ArF laser are discussed. Data for lens heating effects on focus is presented. Stray light data will also be included in this paper. Observations related to the practical operation of a 193nm exposure tool as well as 193nm specific error modes will be shared. Finally, key areas where improvement is needed to ensure that 193nm lithography will be a cost- effective manufacturing technology are identified.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photodeposition of organic films on transparent substrates irradiated in the presence of trace levels of hydrocarbons has been experimentally investigated and a model is presented that describes the film growth behavior. The efficacy of a given organic precursor at forming a deposit is proportional to the product of its surface coverage and by its photon absorption cross section. These measurement are important in predicting the transmission characteristics of lithographic optics operating at 157-, 193-, and 248-nm wavelength. For example, a lens element irradiated continuously for one year in the presence of 1 part per billion of t-butyl benzene would exhibit a transmission of approximately 87 percent at 193 nm. The effects of oxygen- containing ambients are also documented, and methods for elimination and/or prevention of organic contamination are suggested.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photolithography utilizing 157-nm excimer lasers is a leading candidate technology for the post-193-nm generation. A key element required for successful insertion of this technology is the near-term performance and long-term reliability of the components of the optical train, including transparent bulk materials for lenses, optical coatings, photomask substrates, and pellicles. For instance, after 100 billion pulses at an incident fluence of 0.5 mJ/cm2/pulse optical materials, of which the primary candidate is calcium fluoride, should have an absorption coefficient of less than 0.002 cm-1, and antireflective layers should enable transmission of 98.5 percent for a two-sided coated substrate. Modified fused silica has emerged as a viable option as a transparent photomask substrate, and several approaches are being explored for transmissive membranes to be used as pellicles.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fused silica samples from seven different suppliers were exposed at low fluence; nominally 0.1 mJ/cm2, for tens of billions of pulses. These materials are used in the manufacture of projection and other optics needed for DUV microlithography. The fluence level chosen for the exposures was intended to be close to that seen by some of the critical lenses in the projection assembly. Rather than the 'compaction' reported by many workers, most of the samples exhibited the opposite effect. The reduction of optical path by DUV radiation or 'rarefaction', as we have called it, is a physical phenomenon not known or published previous to our work. Dat and experimental conditions are presented which will hopefully lead to the ultimate full understanding of the rarefaction process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The phenomenon of compaction of fused silica is a major concern in 193nm lithography. Numerous studies have shown that as a result, the cost-of-operation of 193nm lithography is expected to be significantly higher than at 248nm due to the degradation of scanner optics. Recent studies have also shown that compact could be reduced by increasing the pulse length of the ArF laser since the magnitude of compaction reduced as 1/(Tis)0.6. Here, Tis is the integral square pulse duration and is given.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To guarantee less than 45 nm product overlay required for the 130 nm IC technology node a key in lithographic tools is a sophisticated wafer alignment sensor that is able to deal with the influences of new, advanced IC processing. To prove that product overlay performance in this range is achievable, overlay results are presented that confirm the operational concept of the new ATHENA alignment sensor on various advanced processes in both front-end as well as back-end-of-line. In particular, the influences related to Chemical Mechanical Polishing (CMP) have been studied. The robustness of the system to large variations of W-CMP process parameters is highlighted. It is argued that full exploitation of the flexibility of the sensor will allow further optimization of its operation in actual production environments and that a product overlay of 35 nm is feasible.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As the 130 nm era is approaching, requirements for lithography are becoming more and more rigorous. We have developed a 193nm scanner for below 130nm geometries capable of handling either 200 mm or 300 mm wafers. This paper describes the lithography tool performance required for printing 130nm features, focusing on a new 193nm excimer laser exposure tool developed for that age.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Daniel R. Cote, David Ahouse, Daniel N. Galburt, Hilary G. Harrold, Justin Kreuzer, Mike Nelson, Mark L. Oskotsky, Geoffrey O'Connor, Harry Sewell, et al.
The never ending drive for faster and denser ICS has reached a staggering pace is attributable to the economics of the semiconductor industry and competition among chip manufacturers. This quest may be approached in different ways. A common approach is to continue to push the 248nm wavelength lithographic tools. This paper reviews the status of 193nm lithography with respect to its production worthiness, capability and extendibility when compared to 248nm systems. Key issues such as cost of ownership and process maturity are discussed. Analytical results, system analyses and recent lithographic results are presented. Conclusions are offered with respect to the logical timing of the insertion of 193nm lithography tools into semiconductor manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Scanning projection system plays a leading part in current DUV optical lithography. It is frequently pointed out that the mechanically induced distortion and field curvature degrade image quality after scanning. On the other hand, the aberration of the projection lens is averaged along the scanning direction. This averaging effect reduces the residual aberration significantly. The aberration averaging based on the point spread function and phase retrieval technique in order to estimate the effective wavefront aberration after scanning is described in this paper. Our averaging method is tested using specified wavefront aberration, and its accuracy is discussed based on the measured wavefront aberration of recent Nikon projection lens.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To implement low-k1 lithography, it is most fundamental to reduce aberrations of projection lenses for the exposure tools, not only in the optical design, but also in the manufacturing process. This paper will reveal a new lens manufacturing concept utilizing Zernike circle polynomials to overcome such difficulties. Sets of Zernike coefficients are used to describe the surface accuracy of each element or wavefront aberrations of assembled lens, and each coefficient itself becomes the target of element polishing or lens tuning. Adopting these targets is the most effective way to control actual optical performance and result in a great improvement of the projection lenses. We present some topics of our new manufacturing process and the performance progress up to our latest KeF stepper, FPA-3000EX6.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper discusses the technological consequences of extending optical lithography down to 50 nm. A total systems approach is used to define the overall error budgets on CD and overlay. The feasibility of extremely high NA optics is studied. With extremely high-NA optics, Depth of Focus decreases and focus control becomes more critical. Using a Step and Scan system, the potential of system dynamics is evaluated. Mask issues are briefly reviewed. Experimental data on field extension with butting is included. As well as being technically feasible, the lithography step for the future technology nodes must be cost effective. It is concluded that optical extension should preferably be supported by reduced field, large magnification scanners. The transition to Next Generation Lithography will be pushed to at least the 50 nm node.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Deep-UV lithography using 248 and 193-nm light will be the microlithography technology of choice for the manufacturing of advanced memory and logic semiconductor devices for the next decade. Since 193nm lithography development has been slow, the extension of 248nm technology to 0.150micrometers and beyond has accelerated. Advanced techniques, such as Optical Proximity Correction and phase shift masks will be needed in order to maintain sufficient process latitude. This continuous reduction of k1 to near ½ wavelength has intensified and issues related to MEF have become a concern. MEF, a phenomenon first discussed by Maurer et al., is define as the CD Error at wafer level divided by the CD Error at reticle level multiplied by the lens magnification. There have been numerous publications discussing the im pact of MEF on CD budgets for line space and contact imaging. This paper will discuss recent work to investigate full field MEF, the impact on choice of illumination conditions and how photoresist can significantly influence MEF. Data based on simulation and experiment was collected with high numerical aperture 248 nm imaging using binary reticles with conventional illumination.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we present a comparative simulation study of mask defect impact in a high MEEF process and its detectability under a mask inspection too. A simulation mode for a mask inspection system is constructed and validated by comparing the simulated signal with data collected from an inspection tool. With this calibrated mode, defect images and scan signals from programmed defect pattens are studied. The corresponding wafer CD variations caused by programmed defects are simulated using a photolithography simulator. We find that for a mask defect of a given size, its impact on wafer varies greatly from location to location, depending on the MEEF of the host patterns surrounding the defect. In comparison, the signal from a high-resolution inspection tool varies linearly with defect size and is nearly independent of the host patterns. Once the MEEF starts its sharp increase, the sensitivity of the inspection tool is required to increase at the same rate. An inspection tool operating at its resolution limit generally could not follow the sharp increase in MEEF once the wafer process starts to degrade. It is therefore important to control the MEEF in the original pattern design to ensure that residual defect does not cause circuit malfunction. Extra margins may have to be introduced in the design rule to account for the impact of residual defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The aggressive schedule for downscaling of gate dimensions and need for tight CD control for the 130nm node has created the need to seriously consider the use of a Levenson phase shift mask with 248nm lithography tools. The improvements in exposure latitude and depth of focus of strong phase shift over binary patterning are well known and have been clearly demonstrated. What is less well understood is the impact of the mask error factor.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Statistical characterization of gate CD variability of a production CMOS process reveals a large spatial intra-field component, strongly dependent on the local layout patterns. We present a novel measurement based characterization approach that is capable of capturing all the relevant CD variation patterns necessary for accurate circuit modeling and statistical design. A rigorous analysis of the impact of intra-field variability on circuit performance is undertaken. We show that intra-field CD variation has a significant detrimental effect on the overall circuit performance by reducing the average speed by up to 20 percent. We derive a model quantitatively relating intra- field CD variance delay degradation. We propose a mask-level spatial gate CD correction algorithm to reduce the intra- field and overall variability, resulting in circuit performance improvement, and provide an analytical model to evaluate the effectiveness of correction for variance reduction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The defect control of the attenuated-PSM is compared with that of the conventional binary intensity mask (BIM), because the fabrication process for the att-PSM tends to generate more defects than that of the BIM. To repair a defective att. PSM, a similar method used for BIM has been applied. However, this process may cause degradation of pattern fidelity with the repair pattern on the mask are transferred on the wafer, if the transmission and phase of repaired area are not well controlled. In this paper, we have investigated the effect of repairing process on the pattern fidelity to define contact holes using a KrF lithography with an att. PSM. The defects in the various distances form contact hole patterns and of various sizes were repaired. The experimental printability and simulation data from an aerial image model were compared for repaired defects. And the repair tool reliability and the simulation accuracy of the att. PSM was examined using CD-SEM. From the experimental results, repaired defects having larger size than the threshold. One or within a certain range from the pattern induced the pattern deformation. Therefore, the size of defect and the distance between the pattern and defect should be considered in repair process for the Att. PSM fabrication. Based on the experimental and simulation results, the requirements for the repair tool will be proposed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Finite element (FE) numerical models were proposed to simulate and predict substrate thermal expansion in photomask substrates and were found to be computationally expensive and dependent on the mask-writing strategy. The present work describes a newly developed model that predicts and corrects for the substrate heating effects in the photomask. This prosed model provides a practical way of predicting in-plane distortions during real-time patterning that is not limited to nay writing strategy or pattern density distribution. The main advantage of this model is that it significantly reduces the computational time by using the linear superposition theory. By adopting the concept of linear superposition, pattern placement errors of mask substrate scan be determined at any time during writing using lookup tables from precomputed FE models. IF the thermal distortion of the substrate at the time during writing using lookup tables from, precomputed FE models. IF the thermal distortion of the substrate at the time of writing is known, beam deflection can be introduced to correct for the distorted substrate. The result predicted by the linear superposition FE model showed a difference of less than 10 percent compared with those predicted using a real-time calculated Fe mode, in a worst case scenario. The accuracy of the linear superposition FE model was found to be partially dependent on the size of the simulated patterning field. The results presented in this paper illustrate the effect of other parameters on the performance of the newly developed model, such as the shape of the patterning fields and pattern coverage uniformity. The overview of this work focuses on fused silica mask substrate materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Large area photomask substrates of fused silica and a size of up to 9 inches by 9 inches are locally evaluated by laser induced fluorescence and H2 measurements. Using a narrow-bandwidth KrF excimer laser, pulsed UV Raman spectroscopy is applied to measure the content of molecular hydrogen as an indicator of laser durability. Due to expeditious H2 measurements, this technique allows to determine the local H2 concentrations across an entire 6 inch by 6 inch photomask substrate within one hour. ArF excimer laser induced fluorescence (LIF) measurements are applied to correlate the H2 concentration and the laser induced defect formation in fused silica. In most cases the NBOH defect density, determine by the intensity of the peak at (lambda) equals 650 nm in the LIF spectrum, shows a symmetric curve across the substrate diagonals with its lowest values in the center. The associated H2 concentrations are found to show a maximum in the center. Furthermore, pulsed UV Raman spectroscopy is used to monitor the H2 concentration during irradiation with several 105 pulses of high KrF laser fluence. At first a rise in the H2 concentration is observed. After a maximum value further irradiation leads to a drop of molecular hydrogen within the illuminated volume.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the shrinking design rules for semiconductors mask data complexity increases continuously. The increasing use of OPC, which has become common for advanced masks, reinforces this trend. The requirements on data processing increase and make it a possible bottleneck. Increasing write times directly impact the cost of the photomask. These facts raise the question of how to design a data path that will not limit the writing speed and throughput of a pattern generator.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New pattern generation system, Photomask Repeater, based on i-line stepper has been developed. This system can transfer device patterns from master masks onto a photomask plate with 22mm field size. To print a chip larger than the 22mm field, stitching technology has been developed. Critical dimension error in the region where shots are stitched is the key issue of this technology. Quantification of critical dimension deviation induced by shot misplacement was carried out by calculation. Introducing exposure dose gradation, it was reduced less than 1.5nm. Form measurements of real exposed mask this technique proved to be able to stitch shots seamlessly. Major two specifications, pattern placement accuracy and critical dimension uniformity, were evaluated. Both specifications required for 150nm photomask were fully satisfied. Availability of the photomask repeater to memory device and system on chip is discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In many respects, excimer lasers are almost ideal light sources for optical lithography applications. Their narrow bandwidth and high power provide tow of the main characteristics required of a light source for high- resolution imaging. However, for deep-UV lithography projection tools with no chromatic aberration in the imaging lens, even the very narrow bandwidth of an excimer laser may lead to image degradation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ultimate limitation of lithography has been studied by using the diffused aerial image model (DAIM). Assuming that only the 0th and 1st order diffraction beams in the off-axis illumination technique contribute to the resist patterns, aerial image is calculated for dense line and space patterns. And then DAIM is applied to achieve final image. By using this diffused aerial image, exposure latitude and mask error effect can be analyzed quantitatively. In the case of perfect image, which can be achieved from, for example, diffraction free x-ray lithography or electron beam lithography without Coulomb repulsion and back scattering effect, same approaches are possible to get the exposure latitude and mask error effect. Under the validation of DAIM, most important parameter, which characterizes dense L/S patterns, is the diffusion length of acid. In order to realize sub-o.1 micrometers pattern with enough process margins, it is required to enlarge exposure latitude and to reduce mask error effect. Therefore, reducing h acid diffusion length of chemical amplification resist (CAR) or new conceptual resist instead of CAR will be needed for sub-0.1 micrometers era.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The direction-oriented partition of unity finite element method (PUFEM) for simulating the image in a photoresist illuminated by partially coherent light is described. The exposure process of DUV lithography is modeled as Helmholtz equations solved by direction-oriented PUFEM. In the present work, a particular emphasis is placed on the application of PUFEM to the scattering problem for periodic structures and the embedding of the direction-oriented angle into the finite element space in order to utilize the nature of the scattering problem. In this article, two excimer laser wave lengths have been used in this investigation. Several numerical experiments illustrate the methodology. These include detailed convergence studies for the problem of scattering of a TE polarized plane wave on the top surface of a resist-coated wafer and the comparison of the results of non-direction-oriented PUFEM and direction-oriented PUFEM. The numerical experiments conclude that the method converges for the scattering problem of the periodic structures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Advanced lithographic techniques such as phase shift masks (PSM) and optical proximity correction (OPC) result in a more complex mask design and technology. With shrinking feature sizes, the topography of the mask becomes more and more important. We compare diffraction spectra, aerial images and resist profiles, which result for rigorous simulations were performed with a time-domain finite- difference algorithm. Consequences with respect to process linearity, mask error factor, printability of small assist features in OPC and phase defects in PSM will be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With optical lithography driving device-manufacturing capability to subwavelength dimensions, complicated phenomena that were once safely ignored art playing a crucial role in determining process quality. One such critical effect result from the consideration of mask topography. As low k1 processes are entering pilot production, we as an industry are finding that we can no longer simply treat the mask as a simple planar surface and therefore consideration of reticle topography is becoming as important as pre-CMP wafer topography once was. The use of advanced masks in a low-k1 process is far from straightforward however, because any image model describing these processes must now predict the effects of light scatter from the mask that lead to a loss in already low process tolerance we have. In this paper we will discuss the extraction of mask topography information, using advanced atomic force microscopy (AFM), and the resultant structures simulated through the use of electro-magnetic field simulation. We will also verify the accuracy of these simulations by examining the correlation between data gathered on an Aerial Image Measurement System and the simulations. We will further show how we have transferred these EMF simulated masks to a conventional lithography simulator to estimate the effects in the resultant photoresist image. This data will be compared to the actual performance of the masks when exposed using state-of-the-art processing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A Huygens surface excitation technique suitable for FDTD computation of electromagnetic scattering from photomask apertures embedded in layered dispersive substrates is presented. The technique is based on the decomposition of an arbitrary incident wave into its frequency components and computing the corresponding steady-state fields in the FDTD space analytically. The technique allows the effects of oblique incidence in partially coherent imaging to be taken into account rigorously in the electromagnetic computation. Numerical results for partially coherent aerial images in 157-nm lithography show that there is an approximately 20 percent difference between the aerial image intensity computed by FDTD and that computed by using the Kirchhoff approximation. This difference can in part be attributed to energy dissipation in the lossy chromium layer through which the photomask apertures are etched.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The effectiveness of OPC features in binary masks is characterized using rigorous 3D electromagnetic simulation and linking of the transmitted fields to SPLAT for the aerial image calculation. Scatter bars (SB) and OPC serifs are treated separately. At 193nm illumination wavelength the correction in the aerial image CD at best focus and at out- of-focus locations of 130nm isolated lines is examined in the presence of a single pair of scatter bars. The LESaerial of the aerial image is found, when placing square OPC features near the corners of the line. Data is provided on the dependence between the size and placement of the OPC scatter bars or serifs and the magnitude of the CDaerial and LESaerial corrections, and general rules of thumb regarding their design process are given. The necessity of rigorous 3D electromagnetic simulations as compared to simulations using a thin mask approximation is also assessed in each case and found to be of limited concern for binary masks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, the boundary element method (BEM) is newly applied to the numerical simulation of an optical lithography, and also is combined with the wavelet matrix transform approach in order to resolve the disadvantage of BEM. Though the impedance matrix derived from the boundary element formulation is usually unsymmetric and fully populated with non-zero elements, the combination of two methods transforms it into the highly sparse matrix, which can be solved efficiently by a sparse solver. The prosed method is implemented for analyzing the topological effect of 2D phase-shifting masks, and their result are compared with those of the waveguide method. From the comparison, it is confirmed that the proposed method would be more efficient than the methods based on either BEM itself or the waveguide method in views of the convergence, the accuracy, the calculation time and the usage of computer memory.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An algorithm is proposed here that will correct aerial image intensity data taken from an MSM tool for unwanted pupil effects such as high-NA corrections or aberrations. The basis for this algorithm is the solution of a nonlinear system of equations for the unknown diffracted orders that go through the objective lens. The ultimate goal of the implementation of this algorithm is to provide a more precise picture of the behavior of the real reticle, as well as to provide proper calibration to simulation and SEM data. This algorithm is then applied to some actual MSM data in order to assess the possible aberrations in the particular MSM tool from which the data originated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The ability of a commercial lithography simulator to accurately predict the pitch dependent print bias of a conventional i-line resist is investigated, under conventional and annular illumination schemes for two critical geometries. The influence of the simulator settings and resist modeling parameters on the observed bias are determined. The result reveal that the simulation predictions are qualitatively, but not quantitatively, reflective of experimental data and are remarkably insensitive to changes in either the simulator settings or the parameters used to describe the resist process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The Rayleigh's equations for resolution and depth of focus (DOF) have been the two pillars of optical lithography, defining the dependency of resolution and DOF to wavelength and to the numerical aperture (NA) of the imaging system. Scaling of resolution and DOF as well as determination of the engineering-based constants k1 and k2 have been depending on these two equations. However, the Rayleigh's equation for DOF is a paraxial approximation. Solving the optical path difference as a function of wavelength and NA rigorously, produces a DOF dependency to the inverse of the square of the numerical half aperture instead of the numerical full aperture. Using this new DOF scaling education and a new coefficient of DOF k3, 10 percent and 20 percent of the previously determined DOF have been overestimated at NA of 0.6 and 0.8 respectively. The Rayleigh's equation for resolution does not suffer from loss of accuracy at high NA but is ambiguous for immersion lithography. An improved from removes the ambiguity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper, we not only present a new method to model the drilling hole profiles but also explain why hole drilling will stop under certain circumstances in the low fluence regime for polymers and fiber reinforced composites. The model explains tapered wall formation and stabilized drilling, form which, high efficient laser drilling and cutting can be predicted in low fluence regimes. This new model is suitable for most well defined beams and materials such as polymers, fiber CDC, glass fiber composites and some ceramics, however, in this paper, the model does not address the case of beam intensity changing along the propagation direction.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
All lithographic step and scan exposure tools require accurate synchronization between the reticle stage and wafer stage during scanning operation. Lateral vibrations between the two stages can be detrimental to process latitude and CD control. The magnitude of these vibrations is expressed as the moving standard deviation (MSD). This paper gives a theoretical framework to predict the impact of MSD on process latitude. The theory is verified by experiments performed on ASML 248 nm step and scan systems. Vibrations were induced between the reticle stage and wafer stage. Focus exposure matrices were exposed for 130 nm and 180 nm features at different noise levels. This establishes the relation between the process latitude and MSD level. The theoretical predictions agreed with the experimental result. Both revealed that the process latitude is most critical towards MSD for dense lines. The exposure latitude and depth of focus decrease rapidly when the MSD level increases. The tolerance towards MSD diminishes when resolution goes down. For 180 nm dense lines, 25 nm MSD can be tolerated to keep loss in EL limited to 10 percent. For 100 nm dense lines this is only 15 nm. Base don the current MSD level of ASML step and scan tools, which is approximately 10 nm, MSD will not deteriorate process latitude of 100 nm features significantly. It was found that for the chosen resist and process conditions, MSD did not influence the best energy and isofocal CD for the dense liens. A decline in Best Energy was observed for the 180 nm isolated lines when the MSD level exceeded 30 nm. This may have serious implications for CD control of isolated lines when the MSD level varies broadly within a batch.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The analysis proposed attempts to identify the systematic and non-systematic errors in linewidth uniformity data and their magnitudes. Specific to step-and-scan systems are various error components to linewidth such as optical slot errors, stage scan errors and wafer residual errors. We explore ways of handling these errors and applying developed methods to actual micrascan data in order to understand how to improve linewidth control.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Accurate and precise metrology and control for stepper or scanner objective lens focal-length is critical for sub- 0.25micrometers design-rule photolithography, specifically for CD, isolated-dense offset, and resist sidewall control of minimum feature geometries. As next generation processes result in reduction of the manufacturing process latitudes, contribution of the focus metrology error will continue to consume a larger portion of the useable depth of focus. In addition to improvement of the cross-field photoresists image fidelity, if the focal length measurement precision is improved, lithographic rework and device yield implications, due to mask and imaging linewidth error, are minimized in production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Specifications for state-of-the-art projection lithography systems do not currently encompass the possible sources of variation that can and do exist in the spatial distribution of pupil fill created by the illuminators of these systems. An investigation into the types and magnitudes of these variation, for conventional and annular illumination, is presented. The variations are used to create a number of possible pupil-fill distributions. Using these pupil-fill distributions and typical amounts of low-order aberrations found in today's equipment as inputs, a simulation survey is presented of critical imaging needs in memory and logic cell fabrication. The amount of illumination-induced error predicted in placement, line-width abnormality, isolated-to- nested bias, and horizontal-to-vertical bias is used to recommend new spatial-illumination requirements for current and future needs of projection lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A robust characterization procedure of the focus drift during the lens heating effect is introduced. Through the optimized lens heating factors, we could keep the better wafer image control when exposure. Result in better critical dimension uniformity across wafer and across one field. This paper will present a detailed optimization procedure for lens heating and the benefit to fix the edge and alterative yield loss. There are several methods to characterize the total focus drift during exposure and how to keep the best focus of the image to the center of the focal plan deviation to get the more better image printing control. These methods including the image sensor scan, measurement the alignment offset of the focal mark, exposure-defocus window and CD measurement. The focus drift could be exactly compensated base on the different saturated data and keep the BF in the center of the FPD.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The following study summarizes a thorough work in characterization the effects of different leveling modes in step-and-repeat exposure tool NSR-2205i11D on photolithography process parameters. The experimental work consisted on flatness measurements using the focus system of the stepper, critical dimensions measurements on Hitachi SEM 8600 and leveling sensor images on CCD camera. The study was performed on production wafers at via and metal layers and deal with phenomena that are related to the layout of products and do not appear on bare wafers. The conclusion that came out form this study is that standard leveling mode may have reduced performance due to misleading defraction pattern on its sensor, and optimal setting for the leveling mode should be made for each product and layer solely.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the semiconductor equipment business, self-metrology calls for in-situ measurements and diagnostics of the process parameters. For exposure tools, self-diagnostics and self-tuning are the core features. The present paper discusses a dose control system that allows for monitoring, correction and periodic self-calibration of the litho tool. Creation of such a system becomes a task even more complex in view of the aggressive illumination environment - 193 and 157 nm - that makes most traditional optical materials inapplicable; and causes many that are applicable to have time-varying performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Simulation-based optical proximity correction (OPC) is applied to print the gate level of a state-of-the-art, high- volume DRAM technology. Using 248 nm lithography, critical structures down to 170nm are printed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Step and Scan technology has matured for the current generation of semiconductor lithography tools and has become the standard for most new fabs and fab expansions. It is estimated that for the year 1999, more than 64 percent of new exposure tool shipments from all vendors were step and scan platforms. For economical mass production in sub 180 nm applications, DUV and i-line lithography have to be combined with critical layers that are exposure during DUV. Semi- critical and non-critical layers are exposed using i-line. The choice to use a stepper ora scanner for the i-line platform becomes critical in terms of operating cost and technical capability. This paper reports on the performance of high resolution, high throughput i-line scanners that are used in mix and match with DUV scanners. Advanced imaging capability is demonstrated on the ASML PAS 5500/400 i-line scanner as well as alignment performance on the most difficult layers using the ATHENA advanced alignment system. Matching strategies are presented along with a cost analysis showing the merits of using i-line scanners for semi- critical and non-critical layers in mix and match.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Sebastian Schmidt, Alain B. Charles, Dietmar Ganz, Steffen R. Hornig, Guenther Hraschan, John G. Maltabes, Karl E. Mautz, Thomas Metzdorf, Ralf Otto, et al.
SEMICONDUCTOR3000 was the first pilot production facility for 300nm wafers in the world. This company, a joint venture between Infineon Technologies and Motorola, is working to develop a manufacturable 300mm wafer tool set. The lithography tools include I-line stepper, and two DUV scanners. These tools are used to build both 64M DRAM devices and aggressive test vehicles. This paper shows the influence of non-linear errors on 300nm wafers is much stronger than on 200mm wafers. The team determined the root causes for the stronger appearance of these effects and proposed solutions to improve the overlay performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report on the development of a production tool of a new system for fast submicron lithography by optical direct wring. The Continuous Image Writer (CIW) combines the advantages of direct writing by using a programmable mask with the advantages of conventional optical lithography by using the same lithographic processes for image formation in photoresists. The CIW can write faster than conventional direct writers and it is more flexible than wafer steppers or scanners. The CIW is designed for maskless patterning of wafers and substrates down to 0.60 micrometers feature size. It reaches a maximum writing speed of 1,250 mm2/min. Our system uses a Lambda Physik KrF excimer laser as light source. The CIW includes all the components necessary for the fully automated exposure of wafers or substrates including automated substrate handling and alignment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A ne optical microlithography - optical holographic microlithography is described in the paper. The primary principle, hologram repositioning, main advantages, basic applications and some experimental system aspects of the optical holographic microlithography are also described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Flare is known to be responsible for a contrast lost and a process latitude reduction. Another undesirable effect is the flare variation, which induces linewidth variation. For a stepper, this is mainly an intrafield effect. In the same way, the main contribution of flare variation comes also from the across field flare variation (AFFV). In comparison the contribution to across wafer flare variation is weak. Using a scanner, AFFV and flare mean for an isolated field has been reduced by a factor of two. Unfortunately, stray light variation across the wafer has increased, but AWFV and flare mean with adjacent field has not dropped significantly. In this paper, the averaged flare, AFFV and AWFV will be compared on a 248 nm stepper ASM/300, a scanner ASM/500 and 193 nm scanner ASM/900. Different parameters such as field size, bottom anti reflective coating, adjacent field and exposure at the edge of the wafer will be analyzed on mean flare value, AFFV and AWFV. An averaged flare for isolate field and AFFV improvement has been observed for the scanner. However, flare impact needs to be carefully considered because AWFV and flare mean with adjacent field is still not negligible. Flare value seems also to drop significantly with the wavelength change, but more experiments need to be done on this non mature technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the reduce cycle times required to produce customized chips to the end user, the inherent overhead time that is involved with running small lots or even send ahead wafers need to be minimized and optimized to provide reasonable levels of raw throughput. By understanding the process, from the completion of one lot to the start of the next, measurements and actions can be undertaken to outline improvements in the process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The comparison of organic and inorganic bottom antireflective coating (BARC) discussed, especially about resolution improvement considering dry etching characteristics of ARC. The target was 0.2micrometers gate layer with shallow trench isolation and KrF negative resist process was used. By evaluation of etching characteristics for hard mask, it was found that etching critical dimension (CD) shift from resist pattern was almost determined by neighboring space width. The CD shift of isolated line pattern was more than +50nm for organic ARC. When CD shift should be corrected accurately by mask correction, the problem occurred that depth of focus of resist pattern was extremely small to compensate such large CD shift. Inorganic ARC could reduce the CD shift of isolated line pattern by 15nm compared to organic ARC. Although CD-DOF for isolated line was deteriorated after etching in the case of organic ARC, that was maintained in the case of inorganic ARC. Organic ARC was superior for the resist line width control on substrate step and inorganic ARC was superior for line end shortening of resist pattern, while both ARCs showed nearly equal performance after etching. Considering the stage of post-etching, inorganic ARC improved resolution of 0.2micrometers isolated pattern by 20-30 nm and DOF with no worse line width control than organic ARC.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel polymeric bottom anti-reflective coating (PARC) process has been developed for 0.25micrometers flash device on the severe topology with KrF lithography. The refractive index, n, and the extinction coefficient, k, of PARC can be tuned to match the optical properties of substrates. The CD uniformity range within wafer is 0.027micrometers and the variation is 0.007micrometers for the polymer BARC. However, the data from organic ARC is 0.047 micrometers and 0.024micrometers for the CD uniformity and variation, respectively. The PARC is a uniform and conformal layer of thin film, which significantly improves the CD uniformity of critical layers such as poly Si gate and other features over topography. Since PARC is a highly conformal film, it can be deposited very uniformly across topography. The thickness of PARC is very uniform on the poly Si/TEOS oxide stack of polysilicon gate of a 0.25micrometers Flash device with highly topology. In contrast, the thickness of BARC varies form place to place. While the thickness of BARC is very thick in the trough, it becomes quite thin at the top. Such a variation not only decreases the photo process latitude, but also creates a problem for the etch process. Furthermore, the etching rate of PARC is about 200 percent higher than BARC, so that there is less resist loss during BARC open step.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have proposed a methodology for 130-nm DRAM patterning. We started by running a simulation to investigate the possibility of 130-nm DRAM production with KrF lithography. We optimized cell array features and isolate lines in the core circuits and peripheral circuits, corresponding to resist performance ((Delta) L). Using a half-tone phase-shift mask, off-axis illumination, and 0.68-NA KrF scanner, we found a high-performance resist of 40-nm (Delta) L that meets the requirement. Then, we screened resist samples using design of experiment. The result was a 40-nm (Delta) L positive resist that has small line edge roughness, a high- contrast resist profile, a small iso-dense bias and a low- blocking level to prevent defects. Finally, we applied this positive resist and OPC-mask to critical layers and achieved a sufficient production margin using a 0.68-NA KrF scanner.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Among the non-volatize memory family, Mask ROM is the most cost competed product that wildly adopted in data storage application. The mask ROM based on the 0.18 um technology generation is pushed to production in most of the advanced fabs that use KrF lithography. There are two critical steps in the process flow layer. Most of the existing studies deal with the small geometry poly patterning. The other challenge is to deal with random code implant layer. However, few studies have been done on the related problems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Thin imaging systems have the potential for excellent lithographic performance and good etch properties. In such systems, the optical absorption of the undercoat and of the imaging layer can be adjusted through formulation and chemistry modifications. As the substrate underneath the resist undercoat changes, the optimal k for the undercoat will change. The reflectivity of the underlayer resist interface will be roughly proportional to the square of the k of the underlayer. As k gets bigger the standing wave in the resist gets stronger, but the effects of varying substrate layer thickness underneath the underlayer are suppressed. It is found that even for very reflective substrate stacks, both types of reflectivity effects are minimal with an undercoat k of about 0.20 to 0.25. The optimal underlayer k depends on how thicken an underlay er is used. Such a system gives better control of reflection and topographic effects than does a single layer plus BARC system. Experiments with different underlayers having different k's show that k can be varied chemically while retaining good etch performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The problem of image shortening is well known in semiconductor lithography. As rectangular features decrease in width, the length of the feature will print smaller than the mask image length. This problem places a constraint upon overall device design because space must be allowed for line extensions and/or adding to the side of features. Making corrections for image shortening requires mask redesign, which increases the time and cost of new product development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The control of critical dimension shrinkage and enlargement of polysilicon line or space obtained precisely using in- situ process with different ratio of gas combination is investigate din this paper. A polysilicon line could be etched down to 0.054 micrometers from 0.22 micrometers of the original critical dimension (CD). The CD shrinking rate of polysilicon line is 2.48 nm per second per side. On the other hand, the space can also be trimmed down to 0.03 micrometers from the original CD of 0.3 micrometers . The CD enlarging rate of polysilicon rate is 0.421 nm per second per side using the present polymer deposition method. The factors that cause shrinkage and enlargement of CD are the etching of photoresist and the deposition of polymer on the surface of photoresist, respectively. The oxygen-rich gas is used for the shrinkage of CD and the fluorocarbon-rich gas is used for the enlargement of CD. Therefore, a critical dimension could not afforded by the present existed optical photolithography tool or method might probably be solved by alternative innovation such as the plasma dry etching technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Especially in logic lithography, the issue of end foreshortening becomes more and more relevant with increasingly small dimension of the printed patterns. This study examines the effect of illumination condition, mask type, and various feature types on space end foreshortening at 150nm ground rules. special emphasis is put on end foreshortening induced design limitations, which may prevent linear shrinks. Data from aerial image simulations, and from experimental data on specially designed test masks suggest that especially the variation of the end foreshortening as a function of defocus has to be considered. The most critical geometry is that of nested and butting space ends at minimum ground rules, where the foreshortening cannot be compensated completely by OPC.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A novel dual layer polymeric anti-reflective coating (PARC) process has been developed for sub-0.18micrometers KrF lithography. The refractive index, n, and the extinction coefficient, k, of PARC can be tuned to match the optical properties of various substrates. The PARC is a uniform and conformal layer of thin film, which significantly improves the CD uniformity of critical layers such as polysilicon get and other features over the severe topography. However, the high k PARC has scum issue near the edge of 0.18 micrometers polysilicon line. Some unknown active chemical reaction occurs when high k PARC is directly adhered to the photoresist. The process window is much improved after insertion of a layer of lower k PARC between photoresist and the polysilicon film. With the process simulation, the optimized dual layer of PARC are stacked with 300A of lower k and 300A of higher k. However the process window from the simulation is quite small, the reflectively of less than 2 percent has only +/- 30A for both k values of PARC. The forming rate of the PARC could be controlled to as low as 4.3A per second and with very good film uniformity of less than 5 percent. We then process the dual layer of PARC on 2500A polysilicon film with 27A gate oxide, a 0.8micrometers DOF of process window is obtained for 0.18micrometers polysilicon gate line. All the scum near the edge of polysilicon gate line is disappeared with the new dual layer PARC process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the near future semiconductor manufacturing will continue to push minimum feature sizes towards and below dimensions of a tenth of a micron. The lithographic patterning process is particularly challenged to support this trend with an every-higher optical resolution. A variety of resolution enhancing technologies are currently developed to encounter this challenge. Processes with decreased wavelength, techniques using strong phase shifting and thin film imaging will compete in terms of optical performance and process cost-of-ownership over the next few years. This paper compares cost-of-ownership of major lithography options for memory wafer structuring at 120nm ground rules or below. ArF lithography, alternating phase shift masks and multi-layer resist techniques are the selected candidates for a process cost analysis. Their cost-of-ownership relevant characteristics are identified and quantified with focus on consistency. This is the basis for a cost analysis and will support a constructive discussion about process feasibility.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we demonstrate a method of correcting optical proximity effects, which is specifically tailored for logic applications. Since the lithographic process window for printing logic features is predominantly determined by isolated lines, it makes sense to optimize the exposure conditions for isolated features, and then correct more nested features. As a result, the common process window is improved. Another benefit from this technique is that a smaller fraction of structures has to be corrected, thus reducing computation time and data volume. This makes this method useful also for logic application with embedded dense features.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In order to be able to apply the optical proximity correction (OPC) to recent large scale layout data within a realistic time, we have developed rule-based OPC and its verification tool 'Acropolis' which also has simple hierarchical and parallel data processing feature. Acropolis was applied to our 8M Gates ASIC product. The processing time and output GDSII file size was reduced by 1/150 and 1/250, respectively, with the aid of simple hierarchical data processing compared to flat data processing compared to flat dat processing. The verification function detects excess/shortage o exposure and smallness of slope of the exposure profile. It takes one minute to verify a few hundred square micro meters of area. This performance is sufficient for our purpose, because at this moment we do not aim to verify whole OPC results but several critical area picked up by means of cooperation of process engineers and layout designer. Acropolis is integrated in a layout editor Virtuoso. As a result Acropolis becomes very efficient to layout designers, because they can design layout patterns, run Acropolis, examine verification results and, if necessary, modify the pattern in the same design environment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
According to the SIA roadmap an overlay of 65nm is necessary for state of the art 0.18micrometers processes. To meet such tight requirements it is necessary to know the magnitude of all contributions, to understand possible interactions and to try to drive every individual overlay component to its ultimate lower limit. In this experimental study we evaluate the impact of different contributions on the overall overlay performance in a fab equipped exclusively with ASML step and scan systems. First we discuss the overlay performance of advanced step and scan systems in a mix and match scenario, focusing on single machine overlay, long term stability and multiple machine matching. We show that both distortion and stage differences between different tools are typically less than 22nm, justifying a multiple machine scenario without significant loss of overlay performance. In the next step, we discuss the impact of layer deposition and CMP. We include shallow trench isolation, tungsten-CMP as well as conventional aluminum wiring and copper-dual-damascene technology into our examinations. In particular, we discuss the pro's and con's of using a zero-layer-mark-approach, compared to an alignment on marks formed in certain layers for direct layer to layer alignment. Furthermore, we examine the performance of ASMLs 'through-the-lens' (TTL)-alignment system becomes as small as 6nm using TTL-alignment. For marks directly affected by CMP-processes technology impact can be controlled within 13nm. We show that, even in a scenario with multiple tools matched to each other, where alignment marks are directly affected by a CMP process step, and where the standard TTL alignment marks are directly affected by a CMP process step, and where the standard TTL alignment system is used, the overall overlay can be controlled within 60nm. Using the ATHENA alignment system, a further improvement is possible.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, the miniaturization of the design rule of memory devices pushes the minimum feature sizes down to sub- wavelengths of the exposure tools. The design of a memory device comprises not only the dense patterns with critical small size in the cell region but also the random patterns in the peripheral region; the latter also need sub- wavelength lithography technology as well as the former. And the optical proximity correction (OPC) has been strongly required for the random patterns in the peripheral region where the same energy is exposed as in the cell region. Therefore, the high accuracy of simulation model used in the OPC is necessary for the full chip OPC tools. However traditional aerial image simulation has a limitation to the application due to its lack of accuracy because it does not take into account a resist process. We introduced novel lithography simulation model in 1998, which describes resist process by diffusion and chemically amplification function.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The recent development of lithographic resolution enhancement techniques of optical proximity correction (OPC) and phase shift masks (PSM) enable sprinting critical dimension (CD) features that are significantly smaller than the exposure wavelength. In this paper, we present a variable threshold OPC model that describes how a pattern configuration transfers to the wafer after resist and etch processes. This 0.18 micrometers CMOS technology utilizes isolation with pitches of active device regions below 0.5 micrometers . The effective gate length on silicon is in the range of 0.11 to 0.18 micrometers . The OPC model begins with a Hopkin's formula for aerial image calculation and is tuned to fit the measured CD data, using a commercially available software. The OPC models are anchored at a set of selected CD dat including linearity, line-end pullback, and linewidth as a function of pitch. It is found that the threshold values inferred from measured CD dat vary approximately linearly with the slope of aerial image. The accuracy of the model is illustrated by comparing the simulated contour using the OPC model and measured SEM image. The implementation of OPC models at both active and gate is achieved using two approaches: (1) to optimize the mask bias and sizes of hammerhead and serifs via a rule based approach; and (2) to correct the SRAM cell layouts by OPC model. The OPC models developed have been successfully applied to 0.18 micrometers technology in a prototyping environment.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A practical optical proximity correction (OPC) method is introduced and applied to 0.25 micrometers DRAM process in order to reduce the gate critical dimension (CD) variations across the exposure field. A variable threshold model is made and evaluated to enhance the model accuracy. This model takes maximum 2X computation time compared with the constant threshold model. The proposed OPC methodology considering both process effects and mask manufacturability simultaneously is discussed in view of the gate line CD variation. The correction segments of a pattern are optimized considering mask manufacturability. Patterns with jog sizes larger than 0.4 micrometers are inspect able with KLA35UV. The OPC results exhibited 60 percent reduction of gate CD variation, 90 percent matching of mean-to-target CD, and 15 percent improvement of circuit performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Based on the energy conservation law, a modified proximity function is proposed to describe the absorbed energy distribution in photoresist during laser writing. The measured data for photoresist absorbing energy are fitted well to the modified proximity function. We analyze the proximity effect in laser writing by using the new model, it is helpful to further develop the precompensation and multi- exposure methods to correct proximity effects in laser direct writing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We estimated the process margins of various cell structures and process problems for full chip process under extreme resolution limit of exposure tool. Therefore, optimizing off axis illumination (OAI) condition for various structures obtained the fine pattern and wider process margin using simulation and experiment. From our experiment, we should use as higher numerical aperture (NA), smaller R and smaller as possible to reduce critical dimension (CD) difference between dense and isolated patterns. Process margins are obtained more than 8 percent exposure latitude (EL) and 0.5 micrometers depth of focus (DOF) for each cell. However, we can consider using of attenuated phase shift mask to improve the exposure and DOF margin. We find that real full chip process induces the critical problems such as isolated line (I/L) and space (I/S) pattern variation due to lens aberration, partial coherence effect, mask error effect, and optical proximity effect. These effects play a role to determine the design rule of cell and periphery structures. In spite of good lens quality, variation of I/L and I/S pattern for various exposure conditions is almost 40nm or more compared to line and space pattern. These phenomena are becoming the critical issue to fulfill the full chip process of 130nm lithography. By optimizing mask error effect, isolated and dense pattern bias, and OAI, we can achieve 130nm technology with 248nm KrF lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
For lithography smaller that 180 nm using 248 nm steppers, phase-shifting lithography is becoming more routine. However, when applied to very small dimensions, OPC effects begin to become pronounced. We have design a new phase- shifting test structure for reticles to address these phase shifting distortions, and report on its use.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A double exposure technique, so called nano-stepping, was investigated to evaluate its benefit for very dense features to reduce line shortening, improve pattern fidelity and resolution capability. The technique involves relaxing the pitch of dense patterns in one dimension and filling in the missing patterns by exposing the same reticle again, offset by an appropriate amount. This method suffers only small throughput loss compared to conventional dual reticle exposure techniques. For 1D patterns, 100 nm lines and spaces can be printed with a 248 nm exposure tool and a half tone mask. Dense 2D contacts with various length to width ratios can be achieved with minimum distance to adjacent neighbors.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Fabrication of small contacts is one of the most important challenges of semiconductor lithography. The use of Bessel contact, pupil filtering or phase shift mask has been suggested by various groups, however those were not easily applicable. Currently, only an attenuated has shift mask has been demonstrated as a useful enhancement approach for contact level lithography. As a practical pupil filtering method, a pellicle plane angular transmission filter is suggested. An angular transmission filter can be realized using multiple dielectric organic or inorganic coatings on glass or membrane substrates. Filter have been designed and fabricated for high NA DUV lithography and initial filter fabrication and exposure evaluation have been carried out. Lithographic results shown up to 20 percent improvement of minimum contact size for dense contacts, and some improvement for isolated and semi-dense contacts. With further optimization of filter, it is expected to have better results with matched lithography set with filter.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The method used in optical photolithography called 'focus latitude enhancement exposure' studied with i-line resists, also makes possible to increase the Depth Of Focus and exposure latitude with Deep-UV resist for all lines and hole patterns of about 280 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To enhance the depth-of-focus (DOF) of isolated lines, we have developed a new method using multiple-focus exposure in the negative-tone resist process. Experiments were performed using a KrF scanner by giving a tilt offset between the image focal plane and the wafer leveling plane along the scan direction. Using this new method, we obtained 0.75- micrometers DOF for a 180-nm isolate line, which was much larger than that in the conventional exposure. Furthermore, we evaluated the common DOF for 180-nm lines having five different pitches. Our method improved the DOF of not only isolated lines but also lines having various pitches.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Interesting characteristics of a negative-tone process have been reported: enlargement of photolithographic margins of isolated line, reduction of optical proximity effect, greater dry etching selectivity. A possibility of fabrication of isolated gates of 0.13micrometers class logic device by the combination of negative-tone process and resolution enhancement technology (RET), was investigated in the KrF lithography. Photolithographic margins of 0.13micrometers isolated line were evaluated by simulation and experiment as well. Parameters are mask type, the transparency of attenuated PSM, mask-pattern size, and coherence factor. The condition defined by the optimal combination of attenuated PSM, mask-pattern size, and coherence factor. The condition defined by the optimal combination of attenuated PSM and a small coherence factor value at NA equals 0.60 increased the photolithographic margins of 0.13 micrometers isolated line. The margins evaluate under the optimal condition exceeded either of the values obtained in the negative-tone process without RET, and in positive-tone process with attenuated PSM and annular illumination. The effect of residue could be neglected in the isolate line under the optimal condition. The common window of 0.13micrometers isolate line and 0.18micrometers L/S, and that of 0.13micrometers isolated line and 0.20micrometers L/S, both increased under the optimal condition. The margins of 0.13micrometers isolated line further increased when NA was 0.68.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
0.32 micrometers pitch on-grid random line pattern formation by double exposure in KrF wavelength is proposed based on optical image calculations. For first exposure, mask patterns are generated by combination of designed patterns and dense dummy patterns, al of which are laid out at on- grid positions with a pitch of 0.32 micrometers . An attenuated phase shift mask and an annular illumination are applied. The imaging performance is significantly enhanced because all patterns in this mask are categorized 'dense' with almost the same pitch of 0.32 micrometers . The mask pattern for second exposure are simply generated from the dummy patterns by tone inversion. The image size required for erasing dummy pattern in much larger than that for the first exposure. Consequently, large latitude, to erase the dummy pattern is much lager than that for the first exposure. Consequently, large latitude, to erase the dummy patterns and not to affect the designed patterns, can be obtained by conventional exposure method with low coherent illumination. Even in this method, OPC is required to obtain desirable CD. However, OPC in this method can be performed by simple rules based method, because on-grid restriction to layout much reduces the variation of pattern configuration. As a result, 0.32 micrometers pitch on-grid random line patterns are formed accurately with DOF larger than 0.6 micrometers in KrF wavelength.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The shrinkage of design rule and low-cost production need the extension of i-line lithographic equipments below 0.30micrometers patterning. The various resolution enhancement techniques (RETs) of i-line lithography have been implemented for low k1 process. In this paper, we optimized the optical and material parameters such as the resist thickness, mask type, off-axis illumination (OAI), and bottom anti-reflective coating for line/space patterns below 0.30micrometers design rule. We designed the experimental scheme on the basis of the statistical method and analyzed the result such as resolution, process margin, optical proximity effect, etc. The minimum resolution of 0.22 micrometers was achieved using the above RET methods where the k1 factor was 0.38. The OAI method plays a crucial role for the resolution enhancement. The thin resist process has an advantage for minimization of OPE factor. We also discus the correlation of the optimized parameters and suggest a combination of the RET method for the specific feature type.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Experiments and simulations were done to determine which pitches are forbidden for 130nm and 110nm features. Off axis illumination, annular and Quasar, and different reticle types, binary mask (BIM), 6 percent attenuating phase shift mask (PSM), 18 percent attenuating PSM, and alternating PSM were simulated and were exposed on an ASML PAS5500/700. Except for the 1:1 line to space ratio, Quasar for the BIM and the attenuated PSM had the largest process window without forbidden pitches. By increasing the transmission the exposure latitude increases. Increasing transmission, however, does not improve the depth of focus (DOF). Annular illumination was ineffective in increasing the DOF beyond 0.5micrometers for both the 130nm and 110nm features. The alternating PSM with low sigma had no forbidden pitches and had the largest DOF. Alternating PSM with high sigma however, was unable to resolve the dense pitches with sufficient process window.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We present a new method of sidelobe suppressor placement based on fast lithographic simulation. Experimental results of printing 0.18 micron contact holes using a 5.5 percent transmittance attenuated phase shift mask with different settings of partial coherency are shown. Very asymmetric side lobes appear in some of these results. To explain these experimental results simulations were performed that take koma lens aberrations into account. A good agreement between experiment and simulation can be obtained them, Using these simulations a new algorithm has been implemented to place absorbing assist pattern for sidelobe suppression suitable in size and position. Then the process window of a double contact was determined using aerial image simulation. Process windows with koma lens aberrations and different settings of the partial coherency are then compared.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches. They also indicate that as the transmission is increased for line feature, the Normalized image log slope increases for all pitches. In this work the performance of 33 percent and 20 percent attenuated masks has been compared against 6 percent and binary masks. Imaging results were obtained for 160nm features at various pitches with a 0.6NA 248nm SVGL MSIII with conventional and annular illumination. Performance of high transmission in terms of Depth of Focus, overlapping process windows, exposure latitude and proximity effects with the various percent transmissions. Critical issues such as manufacturing of tri-tone masks, inspection, repair and material availability for high transmission masks will be addressed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The structure, principle and manufacturing process of a single layer attenuated phase-shifting mask which is compatible with the traditional Cr mask fabrication technology are introduced in the paper. Partial experimental results are provided.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this work, we explore the application of attenuated phase-shift masks (APSM) to sub-0.18 micrometers logic patterning. Particular attention is paid to proximity effects and the common process corridor between dense and isolated features, a key challenge of logic-level lithography. Using PROLITH simulation, we evaluate APSM performance as a function of mask transmission and stepper illumination mode. The optimum process window was found for weak quadrupole illumination. Experimental results were obtained using a test mask consisting of sub-0.25 micrometers L/S Lbar patterns with various pitch values. We compared the case of a 6 percent APSM mask with weak quadrupole illumination to a standard chrome mask with conventional illumination. Properly optimized, APSM can add significant process latitude for sub-0.18micrometers logic features and may enable 130 nm logic node lithography on standard 248 nm exposure tools.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The attenuated phase shift mask has been sued to delineate 0.22 micrometers contact hole structures for 0.18micrometers technology. Using a scanner with a high NA of 0.68, this is equivalent to a k1 value of 0.60. As device shrinks down to 0.13 micrometers technology, 0.16 micrometers contact holes are to be printed with sufficient process latitudes. Using the existing high NA scanner, the k1 value is a low 0.44. Simulations were done using PROLITH/3D software, and the results show better performance for isolated holes. Higher mask transmissions are required to improve the aerial image of the dense holes. Experimentation was conducted to print 0.16micrometers contact holes using moderate and low (sigma) settings. 6 percent APSM was used with 0.16micrometers , 0.18micrometers and 0.20micrometers contact hole patterns biased by 0.04micrometers , 0.06micrometers and 0.08micrometers . Impact of these parameters on mask error enhancement factor were discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Aerial images of a 0.35micrometers contact hole with the different phase shifting masks are calculated based on Hopkins model, and the optimal parameters of different phase shifters are gotten. Attenuated PSM in enhancing resolution and improving the depth of focus is of the most among them, especially when partial coherence factor is smaller. The calculated and simulated software is programmed. The software could produce all kinds masks automatically and calculate the aerial images at different illumination parameters, numeric aperture (NA), defocus conditions and add optimal phase shifter at these areas where resolution is smaller than the critical dimension (CD). At last, it could transform process old CIF format data file which is used to control E-beam exposure tool and filter it into two files by some sifting rule. The Att-PSMs is made and exposure experiments are carried out.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
DUV lithography using 248 nm light is a viable option for manufacturing deices with 130 nm features. A pertinent issue is generating an acceptable common process window for features of different pitches at this nominal dimension. Not only does the process latitude for any given pitch need to be acceptable; the bias in dose to size for these features must be minimized in order to create acceptable common process latitude. Demonstrated for pitch ratios of 1:1.5 and larger is the set-up of illumination, process OPC required to produce common process windows of 0.5 micrometers focus latitude at 10 percent EL. Simultaneously, scattering bars bring the isolated line process latitude to a level comparable to the 1:1.5 pitch features, greatly improving the performance over that of the isolated lines alone.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Recently, an in-situ technique for measuring exposure tool projection lens aberrations was introduced by Litel Instruments. In this study we attempt to gauge the performance of the interferometer through comparison of simulated lithographic patterns using the wavefronts measured by the interferometer, with experimental data collected from printed wafers. Our results compare simulation and experiment for cases of field curvature, lithographic astigmatism, linewidth abnormality, and dense- iso bias. As an additional gauge, we show that the change in the measured focus and 3rd order spherical aberration terms followed the theoretical trend for changing position along the optical axis.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
State of the art exposure tools today are expected to operate at very small k1 factors for semiconductor manufacturing, imposing very tight requirements on lens performance. To evaluate the lens quality with respect to coma and other asymmetric aberrations, two types of monitors, 5-line monitor structure and box in box structure, are used. For a 5-line monitor structure lens coma assessment is achieved by measuring the difference of printed CD between the left most outer and the right most outer line. The principle for box in box structure is to utilize the effect of feature size-dependent image placement. Coma assessment can be achieved by measuring overlay shift between a frame of target line width and a square of larger dimension.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
There exists no method to study aberrations of stepper system with in-situ interferometry. Such a method would help a lithographer understand a system's limitations. We propose using phase shifting point diffraction interferometry to do this. The paper discusses a prototype experiment done on a 436 nm optic.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, we will introduce a new definition, as 'Degree of Patterning Performance (DOPP)' for analyzing process capability. DOPP represents the total performance, which can estimate whether it could be available to adapt any device ona certain integration process. The degree of difficulty on some projects can be represented by DOPP, which considers design rule, field size, mask error, lens aberration, illumination imperfection, resist performance, and the others. Via DOPP, one can estimate whether some project can be available on mass production or not, and machine performance is normal or not. And also, one can quantitatively analyze how effective several causes of CD variation are on total patterning performance respectively. The simple DOPP metric can be used widely on various situations, which will be introduced in detail on this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pattern deformation and pattern position shift caused by lens aberration of the exposure tool sis a serious problem. To analyze the effect of lens aberration, lens aberration data are needed. We have therefore developed a new technique to evaluate lens aberration by using an attenuated phase- shifting mask. We firstly measured exposure energy ratio when side-lobe of an octagonal pattern on an attenuated phase-shifting mask was printed at each of the pattern side by sign scanning electron microscope. This ratio is then compared with the ratio of simulated light intensities, and we obtained aberration values, COMA and trefoil errors. To cut down measurements time and improve measurement repeatability, we inspected the wafers to detect formation of side-lobe patterns by using wafer pattern defect inspection system. As a result, automatic measurement time decreased to 20 minutes for 11 by 11 points measurement in one shot. The light intensity simulation show that pattern delineation characteristics are affected by trefoil errors more than by COMA. We can apply this new measurement technique to select a better lens system that will not suffer so much the effect of COMA and trefoil error on pattern delineation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The impact of lens aberrations become more noticeable in the low k1 region, which is where a Krypton Fluoride (KrF) exposure tool exposes a pattern 0.18 micrometers . Lens aberrations affect pattern fidelity and line width uniformity across an exposure field. In particular, the isolation layer pattern of DRAM cells is very sensitive to lens aberrations. We focused on this pattern in our investigation of the impact of lens aberrations and found that asymmetric deformations were caused only by odd components expressed by Zernike polynomials. The magnitude of the impact changed by pattern condition, shape, image tonality, dimension, and term of Zernike polynomials. Using the Monte Carlo method, we simulated a permissible amount of lens aberrations that meet property requirements of a device. As a result, the odd components of lens aberrations were found to require less than 0.020 (lambda) in 0.18-micrometers generation and 0.015 (lambda) in 0.15-micrometers generation. Our rough estimate of the amount of lens aberrations on a KrF scanner was derived from the relationship between a practical printed image and simulated image.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Projection lens aberrations are typically modeled with Zernike polynomial coefficients. In this paper significant aberration terms that affect pattern placement error are identified using Design of Experiments. Simple models are developed for various 1D and 2D mask structures. These are used to study the impact of different illumination and aberration conditions. The results are used to estimate the impact of projection lens aberrations on overlay error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Imaging characteristics of lithography using phase edge type PSM are investigated intensively based on optical image calculations. Even in the absence of aberration, imaging characteristics are very complicated for high coherent illumination. Shape of CD-Focus curve of isolated line varies from concave to convex with increasing dark line, i.e., Cr line width on mask. Hence, in the case that DOF is optimized for smallest Cr width on mask, DOF decreases with increasing image CD. Moreover, CD-Focus characteristics varies with shifter width on mask. As a result, fair CD- Focus characteristics can be obtained for some specific patterns. In contrast with this, under medium coherent illumination, variation of CD-Focus characteristics with changing mask pattern is less significant than that for high coherent illumination. Large DOF can be obtained for wide range of image CD and pattern pitch. However, finest CD with iso-focal characteristics, which is given by zero Cr width mask, is larger for lower coherent illumination.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The influence of spherical aberration on imaging performance was evaluated by resist simulation for various resist thicknesses and other resist parameters. The best focus variation in terms of pattern size in L and S was not appropriate as a lithographic criterion because it varied not only with pattern size but also with resist characteristics and thickness. General rules for the best focus of L and S based on CD-defocus characteristics are proposed. The lithographic performance represented by the CD uniformity and the best focus variation of isolated patterns were predicted approximately from the result of aerial image calculation for ideal resist performance. In conclusion, the reduction in spherical aberration that leads to improved CD accuracy is not always achieved by the decrease in best focus shift.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This paper describes mask topography effects of alternating phase shift masks for DUV lithography. First two options to achieve intensity balancing are discussed. Global phase errors of +/- 10 degrees cause a CD change of 3 nm and 8 nm CD placement errors. The CD placement appears to be the parameter affected most by phase errors. A sloped quartz edge with an angle of 3 degrees causes a CD change of 10 nm. The CD sensitivity on local phase errors, i.e. quartz bumps or holes was also studied. The critical defect size of a quartz bump was seen to be 150 nm for 150 nm technology. For the investigation the recently developed topography simulator T-mask was used. The simulator was first checked against analytical tests and experimental results.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this paper we analyze selective alternating PSM synthesis and OPC modeling parameters, taking into account lithographic constraints to PSM conformance. The results shown include phase and trim regions size and shape impact on the images printed on wafers at optimum conditions and through focus, at ideal as well as in the presence of errors in phase and transmission due to mask manufacturing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The timing of 193nm tools and the resist to support them is driving semiconductor manufacturers to plan for production of sub-half lambda features on 248nm exposure tools. Lithographers are turning to reticle enhancements to close the capability gap, finding that there are a myriad of issues that must be addressed to achieve production- worthiness.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We investigated the critical dimension (CD) accuracy of 140- nm gate patterns fabricated by using the KrF phase-edge phase shifting mask (PSM), especially the dependence of the CD variation on the pattern pitch conditions, phase assignment conditions, and process conditions such as defocus and misalignment. We found that the phase assignment conditions for the neighboring 0 and 180 degree aperture pairs affected the gate width by 10 nm. The phase of apertures in the PSM should be assigned by taking the phase assignment condition of the neighboring aperture pairs into account when these aperture pairs are placed within the distance of 0.5 micrometers . The CD variation due to defocusing was found to be minimized when the Cr width of fine gate patterns in the PSM was set at 100 nm. The CD variation caused by misalignment between the PSM and the trim mask was also examined, and the trim mask generated by merging the shifter layer gave the minimum CD variation in relation to misalignment. In addition, the CD variation along the length of a fine gate pattern was examined, and the necking shape near the corners of apertures was pointed out. An additional pattern feature was evaluated to avoid it.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, 2PSM and 3PSM are implemented to print low- duty-ratio self-aligned contact plugs. The simulation and experimental results demonstrate that 2PSM has larger process windows than 3PSM. One of the advantages of 2PSM is no asymmetric defocus effect, which is caused by the phase difference of 3PSM and reduces the process window of 3PSM. Defocus-dependent shape distortion in the case of 3PSM is not found in the case of 2PSM, either. Different illumination conditions have been investigated to determine the best illumination condition for 2PSM in terms of large common process window besides less x-y distortion. Optimum illumination parameters and suitable scattering bars can minimize pattern distortion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
New absorption measurements for aluminum oxide optical coatings at 193nm are presented. Apart from the strong linear absorption at this wavelength the data indicate a nonlinear absorption within the thin dielectric layer which increases nonlinearly with the layer thickness. By varying the layer thickness, the intrinsic contribution of the layer material to the overall absorption was separated from the contribution of the substrate and the interface. In addition, the conditioning behavior of the coatings was examined. A strong long term conditioning in the linear absorption was found for Al2O3 containing systems. Comparing the absorption and conditioning behavior of the single layers and a high-reflective system, we can show that the absorption properties of the HR-system are determined by its Al2O3 layers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Results on the feasibility of highest repetition rate ArF lithography excimer lasers with narrow spectral bandwidth of less than 0.4 pm are presented. The current 193 nm lithography laser product NovaLine A2010 delivers output power of 10W at 2 kHz repetition rate with energy dose stability of +/- 0.5 percent. A novel 193 nm absolute wavelength calibration technique has ben incorporated in the laser which gives absolute wavelength accuracy better than 0.5 pm. Long-term results of optical materials, coatings and laser components give insight into estimated cost of ownership developments for the laser operation over the next years. Progress in pulse stretching approaches to achieve lower stress of the wafer scanner illumination optics and lens allow optimistic estimates of total system CoO. Initial results on the laser operation at 4 kHz in order to reach 20W output power are discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A line-narrowed excimer laser has been developed for use as a light source for DUV microlithography using a refractive lens system. We report on a newly developed 3000 Hz ArF excimer laser with a long duration pulse. The laser has the following specifications: 15W average power, 0.45 pm FWHM bandwidth, 1.5 pm spectral bandwidth at 95 percent integrated energy, and 7 percent energy stability of 3 sigma at 3000 Hz. The integral-square pulse width is longer than 45 ns during a single gas fill more than 50 million pulses. We also report on a 4000 Hz excimer laser, under development.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The spectral shape requirements for an ArF laser for 193 nm microlithography are expected to be about 2X tighter than at 248nm. This is in part due to the dispersion of fused silica and CaD2 at 193nm and in part due to the push by the lens designers towards higher NA lenses. However, unlike 248nm, it is likely that the process engineer may not be satisfied with simple spectral bandwidth measurements of Full-Width-At-Half-Maximum. Instead, the knowledge of the compete spectral shape may be required, since it is the total shape that has an impact on the lens performance. This requirement may have significant impact on corresponding metrology tools. These tools should be either portable or built into the laser. They should be able to provide continuous feedback to the process engineer as far as the lens performance is considered. Present paper discuses recent developments in 193nm metrology which can be implemented as a part of laser on-board diagnostics or as a field service tool, and is capable of accurately measuring the laser spectrum shape. This information, together with propriety lens parameters, will allow process engineer to accurately evaluate the aberrations due to the laser line shape.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We report the performance of a very high repetition rate ArF laser optimized for next generation, high NA, high throughput scanner. The laser's repetition rate exceeds 4kHz, at 5mJ, and at bandwidths of less than 1.2 pm. We discuss the complexity of high power operation, and make some estimates about the robustness of this technology. In particular, we discuss the risks of scaling to this high repetition rate, and prospects of exceeding 4kHz to near 6kHz with 95 percent bandwidths of less than 1pm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Based on accurate gas analysis technology it has been found that both gas purity and gas control are key factors in optimizing the performance of ArF excimer lasers. The study of the behavior of gas impurities inside the laser chamber showed that impurities built up not only during laser operation but also during rest periods. In-situ gas analysis and controlled impurity gas addition clarified that hydrogen fluoride and oxygen impurities, are the main causes for the decrease of laser output energy. Based on our experiments, a modified gas composition was chosen for the ArF laser that significantly improved its output characteristics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have succeeded in the commercialization of the world's first kHz ArF excimer laser for microlithography application. The ArF laser is expected to be the light source for the DUV lithography tools for sub-0.13 micron geometry semiconductor production. In this paper, we present the performance and advanced technologies of the newest model of the ArF excimer laser, which achieves 10W of output power with 0.5 pm bandwidth at 2 kHz. The pulse-to-pulse energy stability, 3 sigma is less than 10 percent and integrated energy stability is within +/- 0.3 percent. The durability performance is extended to 5 billion pulses, which provides affordable CoO for volume production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The design of high-resolution multi-grating spectrometers for measuring the spectral line width at full width at half maximum and the purity of KrF/ArF excimer lasers is outlined. A special configuration of gratings called DEGA is described in detail. DEGA uses two identical echelle gratings with identical angles of incidence. The focal lengths of the imaging mirrors are 1.8m. The output slit image is magnified five times and detected by a back illumination CCD detector array having a very high DUV sensitivity. The theoretical dispersion of the DEGA spectrometer is 1.2pm/mm. The experimentally measured dispersion of 1.2pm/mm is in good agreement with the theoretical value. The measured resolution is 0.11 pm at the KrF excimer laser wavelength. The long-term stability of DEGA has been evaluated and proven to be sufficiently high to use the spectrometer for high quality KrF/ArF excimer laser production.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Exposure tools for 248nm lithography have reached a level of maturity comparable to those based on i-line. With this increase in maturity, there is a concomitant requirement for greater flexibility from the laser by the process engineers. Usually, these requirements pertain to energy, spectral width and repetition rate. By utilizing a combination of laser parameters, the process engineers are often able to optimize throughput, reduce cost-of-operation or achieve greater process margin. Hitherto, such flexibility of laser operation was possible only via significant changes to various laser modules. During our investigation, we found that the key measure of the laser that impacts the aforementioned parameters is its F2 concentration. By monitoring and controlling its slope efficiency, the laser's F2 concentration may be precisely controlled. Thus a laser may tune to operate under specifications as diverse as 7mJ, (Delta) (lambda) FWHM < 0.3 pm and 10mJ, (Delta) (lambda) FWHM < 0.6pm and still meet the host of requirements necessary for lithography. We discus this new F2 control technique and highlight some laser performance parameters.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a KrF excimer laser with ultra narrow linewidth and high repetition rate applicable for optical lithography using DUV wafer scanners with highest numerical aperture (NA) of more than 0.8. A laser bandwidth of less than 0.4 pm, full width half maximum, is achieved by our new design of the laser resonator, which is based on out patented polarization coupled resonator. The new resonator design increase the efficiency of ht laser optics and improves the wavelength stability. The laser tube and solid sate pulser have been adapted to the new laser resonator. As a result, another step in the reduction of the cost of operation is achieved. The laser operates with a repetition rate of 2 kHz and gives a large operation range with respect to wavelength and energy range. The characteristic performance of this new excimer laser is presented.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Exposure tools for 193nm lithography are expected to use Argon-Fluoride lasers at repetition rates of at least 2kHz. We are showing that, by revisiting several key technologies, the performance and reliability of ArF lasers at 2 kHz are trending towards a level comparable to KrF lasers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have succeeded in the development of an excimer laser with ultra narrow bandwidth applicable to high N.A. scanners targeting on the 0.13micrometers -design rule. Key word of our solution for 0.13micrometers -design rule was 'extended technologies of currently available KrF excimer laser unit. As the result we could shorten development time remarkably. The narrower the laser spectrum, the less the influence of chromatic aberration on exposure projection lens; this is a well-known fact. We have developed the technologies to achieve spectral bandwidths less than 0.5pm, 20 percent narrower than our current model G20K. In order to attain this number, the major design change was made on line narrowing module, which was redesigned to minimize the dispersion of wavelength element. In addition gas condition was fine-tuned for the new line narrowing module. Integrated energy stability has been improved within +/- 0.35 percent with 35 pulses window by the introduction of a high efficiency pules power module and a faster gas circulation system. The rest of oscillation performances and durability equate with the base model G20K. The intelligent gas control system extended gas exchange interval up to 200 million pulses or 7 days. The G20K already passed through 10 billion-pulse test. Total energy loss was within 4mJ which is small enough to be compensated by gas injection and voltage change; it is a unique compensation system of Komatsu.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the introduction of 157 nm as the next optical lithography wavelength, the need for new pellicle and photoresist materials optimized for this wavelength has produced much activity in optical characterization of thin film materials. Here we focus on ultra transparent fluoropolymers for 157 nm pellicle applications where absorbances below 0.01/micrometers are necessary to achieve transmissions above 98 percent. Transmission-based absorbance/micrometers measurements performed using VUV spectroscopy are characterized by rapid turn-around time, and are essential during the materials design and screening phase of a new materials development program. Once suitable candidate materials families have been identified for development into 157 nm pellicles, VUV ellipsometry becomes essential to model the film structure, characterize the complex index of refraction, and to tune the pellicle's etalon design. Comparison of VUV absorbance measurements of fluoropolymer thin films on CaF2 substrates with VUV ellipsometry measurements of the same polymers on silicon substrates demonstrates some of the artifacts in, and helps define the accuracy of transmission based absorbance measurements. Fresnel interference fringes can produce transmission oscillations that can lead to underestimation, or even negative values, of the film absorbance. Film thickness nonuniformity can serve to reduce the Fresnel interference fringes, leading to reduce variation in the apparent 157 nm absorbance for micrometers thick films. VUV ellipsometry coupled with Fresnel analysis of the thin film/substrate system formally takes into consideration all of these optical artifacts, while at the same time determining the complex index of refraction of the materials. Using VUV ellipsometry and Fresnel analysis, the absorbance values do not show the large apparent oscillations, the film thickness is directly determined in the measurement, and film microstructure is also modeled. We have identified ultra transparent fluoropolymers which have 157 nm absorbances below 0.01/micrometers . These materials have the appropriate optical properties for use as 157 nm pellicles with greater than 98 percent transmission. This is an important for the development of 157 nm lithography, since the lack of a 157nm pellicle has been identified as a critical path issue.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have made accurate measurements near 157 nm of the absolute index of refraction, the index of refraction, the index dispersion, and the temperature dependence of the index, for the cubic-symmetry, group-II fluorides: calcium fluoride, strontium fluoride, and barium fluoride. Accurate measurements of these quantities for calcium fluoride are needed for designs of lens systems for 157 nm excimer-laser- based exposure tools for photolithography. Measurements of these isotropic materials high ly transmissive near 157 nm, such as strontium fluoride and barium fluoride, are needed for designs which include secondary materials in addition to calcium fluoride to correct for chromatic aberrations. These optical properties were measured with precision gonimeters on prisms of the materials in a nitrogen atmosphere using the minimum deviation method. The relative refractive indices were converted to absolute indices by using values of the index of nitrogen obtained by independent interferometric measurement near 157 nm. Values of the absolute refractive indices for these materials were obtained with an accuracy of 6 X 10-6. A key result is that the dispersion of barium fluoride near 157 nm is approximately 68 percent larger than that of calcium fluoride, which makes barium fluoride a potentially useful material for combination with calcium fluoride for chromatic aberration correction near 157 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Projection photolithography at 157 nm is now under research as a possible extension of current 248 nm and planned 193 nm technologies. We have succeeded in the development of the modified fused silica glass 'AQF' for 157 nm lithography. In this paper, we present the performance of the newest material; AQF/Ver. 2.1. Transmission and its uniformity at 157 nm is better than 78 +/- 1.5 percent, and birefringence is within 2nm. The surface flatness is less than 0.5 micrometers , and surface defects over 0.4 micrometers in size are free.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
According to the SIA-Roadmap, the 157 nm wavelength of the F2 laser emission will be used for chip production with critical dimensions of 100 nm down tot eh 70 nm node. Currently al basic technologies for 157 nm lithography are under investigation and development at material suppliers, coating manufacturers, laser suppliers, lens and tool manufacturers, mask houses, pellicle manufacturers, and resist suppliers.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Highly line-narrowed F2 laser operation in the VUV has been achieved for the first time by means of a master oscillator/power amplifier laser design. Different concepts have ben investigated experimentally for the master oscillator (MO) in order to obtain narrowband spectra. The diffraction grating based design showed to be limited to a FWHM of approximately 0.4 pm. The spectral FWHM of the MO could be further reduced to below 0.3 pm with a double etalon-based resonator. Single pass amplification was employed to increase the beam energy density of the beam up to 50 mJ/cm2. The spectral FWHM of the amplified light is slightly larger than the FWHM of the correspondent MO radiation, indicating saturation and/or inhomogeneous broadening of the F2 amplifier medium. Experimental data obtained from broadband operation and ASE measurements suggests that the free running bandwidth of F2 lasers result form spectral gain-narrowing of the laser medium.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In-situ laser cleaning is shown to be an effective tool for removal of organic contaminants on CaF2 windows. To study laser cleaning in a controlled fashion, CaF2 substrates were pre-contaminated with 5 to 10 nm of poly(methyl methacrylate), poly(4-hydroxy styrene), poly(norbornene), and poly((beta) -pinene) thin films. Irradiation of all the polymer films showed similar trends. Initially, a high rate of material removal occurs, which depends on the chemistry of the polymer. During this period, the material also undergoes significant bond rearrangement, forming a more tightly bound highly conjugated network. Removal of this residual 'graphitized' film is significantly more difficult, but can be accelerated by the presence of modest levels of oxygen. For oxygen concentrations between 10-1000 ppm, the measured removal rate is approximately 3 nm/(kJ/cm2) ppm oxygen. No effect on removal rate was observed as pulse energy or purge gas flow rate was varied over ranges expected to be used in practical systems.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Before 157nm optical lithography can be implemented as an effective technology, the performance of VUV thin film materials must be investigated. These materials will have a significant impact on the ultimate system performance. The capability of such films may actually determine whether an optical technology is viable. We have been exploring the optical properties of various fluorides, oxides and nitrides for use at 157nm. We have developed several approaches for solutions to optical coatings, masking and AR applications. These include an alternative version of a chromium absorber film, optical and AR coatings based on Group III metal fluorides.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The technological development of projection photolithography at the 157 nm wavelength of the F2 laser followed by the 193 nm of the ArF excimer laser has been progressing rapidly. Fused silica glass is most promising candidate for 157 nm photomasks, while its absorption edge is extremely close to 157 nm. In this paper the vacuum,-UV transmittance curve at temperatures of 298 to 498 K was examined. The curve gradually shift to longer wavelengths due to thermal disorder and the transmittance at 157nm decreased with increasing temperature. The rise in temperature induced by F2 laser energy density and the irradiation time. For examples, in the case of 0.9 internal transmittance and a fluence of 0.1 mJ/cm(superscript 2/pulse with 1 kHz frequency, the temperature raised by about 8K. The change of transmittance at 157 nm accompanying the increment of 8K is negligibly small. The data suggests that the transmission loss caused by thermal disorder during F2 laser irradiation can be neglected as long as the silica glass with high internal transmittance is used in 157 nm photomasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The fluorine molecular laser is a very promising light source for the next generation of optical microlithography below 100 nm. The fluorine laser we developed uses a new, all solid-state pulse power module, that generates an output energy of 6 J/pulse, and an optimized RF pre-ionization. At 2000Hz, 11 mJ/pulse have been measured. Single line oscillation at 157.6299nm was obtained using prisms. Fluorine laser spectra have been measured with a high- resolution VUV spectrometer. The convoluted bandwidth was 1.08pm for 0.1 percent /balance F2/He and a total pressure of 3000 hPa. Currently, we are investigating Ultra Narrow fluorine lasers with a bandwidth below 0.2pm. This laser is aimed for exposure tools using refractive projection optics at 157nm. Evaluation tools for optical materials and coatings have also been developed. The temporal transmittance during 157 nm laser irradiation and the transmittance between the DUV and VUV region directly after laser irradiation can be measured. We have successfully demonstrated the potential of the molecular fluorine laser for microlithography and a first generation laser for 157 nm exposure tools is almost ready.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The continuos advancement of optical lithography into the regime of sub-100nm patterning capability requires the utilization of shorter exposure wavelengths such as 157nm. This in turn requires modifications in lens performance and stepper body performance. Advances in index homogeneity have made it possible to develop 157nm lens systems suitable for investigating sub-100nm lithography. Recent advances in the transmission of modified fused silica as a reticle material have made it more desirable to pursue 157nm lithography tools. MicroSteppers are a necessary vehicle to obtain photoresist and process information pertaining to the efficacy of this technology for production at the 100nm and 70nm device nodes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A simulation study has been performed to look at improving the imaging of a 130nm poly gate mask design. For this lithography process, we have chosen 6 percent attenuated PSM applied with scattering-bar optical proximity correction (SB-OPC) using 248 nm exposure wavelength. We compare the process window performance of off-axis illuminations (OAI) such as QUASAR and annular to a conventional on-axis illumination. Sampled lens aberrations were introduced to the simulation model to evaluate the impact of illumination settings. Simulations show benefits of combining SB-OPC technology with OAI on the performance of 130nm poly gate line features in the presence of known lens aberrations. For this simulation study, we have used our WaveMaster software tool to automate the SOLID-C simulation loops that includes multiple pre-selected line features form an actual poly gate mask design, five different lens aberration Zernike data sets, and three illumination settings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As interferometry becomes readily and regularly available from the lithography projection lens testing process, the question to lithographers becomes, what can it tell us. The absence of a reliable and robust resist simulation treatment for chemically amplified resists leaves us searching for other ways to utilize the lens test interferometry data to help learn about the failure mechanisms associated with KrF imaging. Various simulation exercises and methods will be explored in the resolution regime near half-lambda, with the goal of identifying metrics which can be used to highlight imaging response optima as well as failure tendencies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Lithography has been the technological driver for shrinking circuits and creating finer and finer transistor gates. As silicon feature sizes continue to decrease below the wavelength of available exposure tools, reticle-based resolution enhancement techniques including optical proximity correction (OPC) and phase-shifting mask (PSM) are required for patterning features that are significantly smaller than wavelength. Infrastructure, such as software tools and mask making capability, has to be developed in order to use such technologies in production. The double- exposure dark-field alternating PSM technique has been approved as the first production worthy strong phase shifting technology that addresses the important issues related to design, mask manufacturing and wafer production. We will first discuss the concept and the significance of DDAP, then introduce iN-Phase, the first commercial electronic design automation tool that has integrated phase shifter placement with OPC and verification to provide a compete solution for PSM design. The software architecture, performance and application will also be discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The demand of high throughput and good energy dose stability of DUV scanner systems result in the requirement of laser repetition rates above 2 kHz for lithography production tools at 193 nm and 157 nm. Also in 248 nm lithography, dose energy stability could be improved by higher repetition rates from the laser. We have investigated the possibilities and limits of high repetition rate performance of laser discharge units for DUV lithography lasers. A new chamber has been developed with electrode configuration, pre- ionization system and high speed gas flow system for very high repetition rate operation. Acoustic resonances in the frequency range of interest have been prevented by design. With new solid-state pulsed power modules which support long pulse gain modulation and high precision high voltage power supplies very high repetition rates have been demonstrated. For 248 nm lasers repetition rates above 5 kHz have been achieved, for 193 nm laser above 4.5 kHz. 157 nm lasers can be operated above 2.5 kHz. Data of the laser performance as e.g. power and energy stability are given for the various wavelengths.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The laser induced absorption of CaF2 caused by ArF excimer laser light has been observed at energy densities of F equals 2-30 mJ/cm2 per pulse and a repetition rate of R equals 50 Hz. The experiments show that the transmission of CaF2 samples depends on the pulse energy density. The change of the absorption coefficient with the time of irradiation can be described by an exponential model. Different experiments were performed where the energy density was increased and decreased stepwise. They prove that color centers not only are formed but also are annihilated by irradiation. Laser induced decrease of absorption was observed in all samples as soon as the energy density was decreased. Coloring and bleaching of the samples are completely reversible processes. The level of transmission depends on the energy density of the laser light and the quality of the material but not on the history of irradiation. The damage resistance of the material can be adjusted by the appropriate choice of the raw material and the process parameters. The reversibility of the laser induced absorption can be explained by a reaction equilibrium. This leads to a model where the concentration of absorbing defects depends on the current irradiation conditions. Using these equations the reversibility and the observed exponential dependence of the change of transmission with time can be explained. Assuming different dependencies of the reaction constants of coloring and bleaching on the energy density, the change of the absorption coefficient with pulse energy density can be calculated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Intel is aggressively pursuing the use of 157 nm lithography for the 0.1 mm patterning node. Two areas of concentration have been in photoresist and reticle materials development. Over the six months, we have seen considerable progress in new materials development in both areas. In the photoresist area, the use of ultra-thin resists of currently used chemistries appear to be capable of providing short-term layer development and tool testing patterning capability. We have obtained imaging results using a 0.5 NA Schwartzchild optics system. Our best result to data show 70-80 nm lines printed on a pitch of 180 nm. While this small field system has considerably immature optics, it can be used effectively to do basic resist development. In the area of reticle materials development, we have seen considerable improvement in the reduction of OH in blank materials, resulting in higher transmission. We expect to see substrates with greater than 80 percent transmission within the next year at the current rate of accelerated progress. Furthermore, we are not seeing any major processing differences with these new blank materials. Overall, we have seen an accelerated pace of learning in materials development for both resist and new blank materials. Overall, we have seen an accelerated pace of learning in materials development for both resist and reticle materials for 157 nm lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
SVG Lithography (SVGL) has instigate da comprehensive program for the development of an advanced 157nm lithography exposure system of processing 70nm critical dimensions. This paper presents the need for 157nm technology to be an evolutionary approach and details the present state of the challenges in the development of 157nm lithography. It also describes the SVGL 157nm program approach and provides some insight into the progress made to date addressing the challenges.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A 157nm interference lithography system which is capable of patterning features at sub-100-nm pitch has been implemented. Initial results demonstrate approximately 50 nm line and space patterns exposed in a commercial deep-UV photoresists. Little line edge roughness is observed, indicating that the intrinsic properties of the resist may meet CD-control requirements to at least 50 nm. In addition, this system may be used to measure the spatial coherence of the 157-nm F2 laser source. Preliminary estimates show that the coherence length is approximately 40 micrometers .
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As optical lithography error budgets on pattern placement become more and more stringent for sub-130 nm technology, all mask-related distortions must be quantified, controlled, and minimized. To optimize the mask fabrication process, it is essential to identify the stress magnitudes of the thin films and determine the resulting effect on pattern placement errors. Experiments utilizing surface mapping technique have been used to quantify the stress magnitudes of current thin film deposition parameters used in photomask blank fabrication. The effect of pattern transfer on image placement errors was determined experimentally for an anisotropic metrology pattern. The stress magnitudes obtained in the thin film stress measurements were incorporated into a finite element model that simulated the mechanical effect of pattern transfer utilizing equivalent modeling techniques. Analytical, experimental, and finite element procedures have been integrated to accurately quantify thin film stress magnitudes and the corresponding pattern transfer distortions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.