Paper
29 September 2009 Critical dimension uniformity using reticle inspection tool
Mark Wylie, Trent Hutchinson, Gang Pan, Thomas Vavul, John Miller, Aditya Dayal, Carl Hess, Mike Green, Shad Hedges, Dan Chalom, Maciej Rudzinski, Craig Wood, Jeff McMurran
Author Affiliations +
Abstract
The Critical Dimension Uniformity (CDU) specification on photomasks continues to decrease with each successive node. The ITRS roadmap for optical masks indicates that the CDU (3 sigma) for dense lines on binary or attenuated phase shift mask is 3.4nm for the 45nm half-pitch (45HP) node and will decrease to 2.4nm for the 32HP node. The current capability of leading-edge mask shop patterning processes results in CDU variation across the photomask of a similar magnitude. Hence, we are entering a phase where the mask CDU specification is approaching the limit of the capability of the current Process of Record (POR). Mask shops have started exploring more active mechanisms to improve the CDU capability of the mask process. A typical application is feeding back the CDU data to adjust the mask writer dose to compensate for non-uniformity in the CDs, resulting in improved quality of subsequent masks. Mask makers are currently using the CD-SEM tool for this application. While the resolution of SEM data ensures its position as the industry standard and continued requirement to establish the photomask CD Mean to Target value, a dense measurement of CDs across the reticle with minimal cycle time impact would have value. In this paper, we describe the basic theory and application of a new, reticle inspection intensity-based CDU approach that has the advantage of dense sampling over larger areas on the mask. The TeraScanHR high NA reticle inspection system is used in this study; it can scan the entire reticle at relatively high throughput, and is ideally suited for collecting dense CDU data. We describe results obtained on advanced memory masks and discuss applications of CDU maps for optimizing the mask manufacturing process. A reticle inspection map of CDU is complementary to CD-SEM data. The dense data set has value for various applications, including feedback to mask writer and engineering analysis within the mask shop.
© (2009) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Mark Wylie, Trent Hutchinson, Gang Pan, Thomas Vavul, John Miller, Aditya Dayal, Carl Hess, Mike Green, Shad Hedges, Dan Chalom, Maciej Rudzinski, Craig Wood, and Jeff McMurran "Critical dimension uniformity using reticle inspection tool", Proc. SPIE 7488, Photomask Technology 2009, 74881O (29 September 2009); https://doi.org/10.1117/12.830148
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Reticles

Scanning electron microscopy

Critical dimension metrology

Inspection

Manufacturing

Etching

Back to Top