Multi-patterning lithography for future technology nodes in logic and memory are driving the allowed on-product overlay error in an DUV and EUV matched machine operation down to values of 2 nm and below. The ASML ORION alignment sensor provides an effective way to deal with process impact on alignment marks. In addition, optimized higher order wafer alignment models combined with overlay metrology based feedforward correction schemes are deployed to control the process induced overlay variability from wafer-to-wafer and lot-to-lot. In addition machine learning based algorithms based on hybrid metrology inputs, strengthen the control capabilities for high volume manufacturing. The increase of the number of process layers in semiconductor devices results in an increase of control complexity of the total overlay and alignment control strategy. This complexity requires a holistic solution approach, that addresses total overlay optimization from process design, to process setup, and process control in high volume manufacturing. We find the optimum combination between feedforward and feedback, by having feedback deal with constant and predictable parts of overlay and have scanner wafer alignment covering the wafer-to-wafer variable part of overlay. In this paper we present investigation results using more wavelengths for wafer alignment and show the benefits in wavelength selection and recipe optimization. We investigate the wafer-to-wafer variable content of two experiment cases and show that a sample scheme of about 60 marks is well capable estimating the model parameters describing the grid. Finally, we show initial results of using level sensor metrology data as hybrid input to the derivation of the exposure grid.
Multi-patterning lithography at the 10-nm and 7-nm nodes is driving the allowed overlay error down to extreme low values. Advanced high order overlay correction schemes are needed to control the process variability. Additionally the increase of the number of split layers results in an exponential increase of metrology complexity of the total overlay and alignment tree. At the same time, the process stack includes more hard-mask steps and becomes more and more complex, with as consequence that the setup and verification of the overlay metrology recipe becomes more critical. All of the above require a holistic approach that addresses total overlay optimization from process design to process setup and control in volume manufacturing. In this paper we will present the holistic overlay control flow designed for 10-nm and 7-nm nodes and illustrate the achievable ultimate overlay performance for a logic and DRAM use case. As figure 1 illustrates we will explain the details of the steps in the holistic flow. Overlay accuracy is the driver for target design and metrology tool optimization like wavelength and polarization. We will show that it is essential to include processing effects like etching and CMP which can result in a physical asymmetry of the bottom grating of diffraction based overlay targets. We will introduce a new method to create a reference overlay map, based on metrology data using multiple wavelengths and polarization settings. A similar approach is developed for the wafer alignment step. The overlay fingerprint correction using linear or high order correction per exposure (CPE) has a large amount of parameters. It is critical to balance the metrology noise with the ultimate correction model and the related metrology sampling scheme. Similar approach is needed for the wafer align step. Both for overlay control as well as alignment we have developed methods which include efficient use of metrology time, available for an in the litho-cluster integrated metrology use. These methods include a novel set models that efficiently describe different process fingerprints. We will explain the methods and show the benefits for logic and DRAM use cases.
ITRS lithography's stringent specifications for the 22 nm node are a major challenge for the semiconductor industry. With the EUV point insertion at 16 nm node, ArF lithography is expected to reach its fundamental limits. The prevailing view of holistic lithography methods, together with double patterning techniques, has targeted bringing lithography performance toward the 22 nm node (i.e., closer to the immersion scanner resolution limit) to an acceptable level. At this resolution limit, a mask is the primary contributor of systematic errors within the wafer intrafield domain. As the ITRS critical dimension uniformity (CDU) specification shrinks, it would be crucial to monitor the mask static and dynamic critical dimension (CD) changes in the fab, and use the data to control the intrafield CDU performance in a most efficient way. Furthermore, optimization and monitoring of process windows (PW) becomes more critical due to the presence of mask three-dimensional effects. This paper will present double patterning inter- and intrafield data, for CDU and PW monitoring and optimization, measured by Applied Materials' mask inspection and CD-SEM tools. Special emphasis was given to speed and effectiveness of the inspection for a production environment.
ITRS lithography's stringent specifications for the 22nm node are a major challenge for the semiconductor industry. With
the EUV point insertion at 16nm node, ArF lithography is expected to reach its fundamental limits. The prevailing view
of holistic lithography methods, together with double patterning techniques, has targeted bringing lithography
performance towards the 22nm node (i.e., closer to the immersion scanner resolution limit) to an acceptable level.
At this resolution limit, a mask is the primary contributor of systematic errors within the wafer intra-field domain. As the
ITRS CDU specification shrinks, it would be crucial to monitor the mask static and dynamic critical dimension (CD)
changes in the fab, and use the data to control the intra-field CDU performance in a most efficient way. Furthermore
optimization and monitoring of process windows becomes more critical due to the presence of mask 3D effects.
This paper will present double patterning inter- and intra-field data, for CDU and PW monitoring and optimization,
measured by Applied Materials' mask inspection and CD-SEM tools. Special emphasis was given to speed and
effectiveness of the inspection for a production environment
In keeping up with the tightening overall budget in lithography, metrology requirements have reached a deep subnanometer
level [1]. This drives the need for clean metrology (resolution and precision). Results have been
published of a thorough investigation of a scatterometry-based platform from ASML [7], showing promising
results on resolution, precision, and tool matching for overlay, CD and focus [2 - 6].
But overall requirements are so extreme that all measures must be taken in order to meet them. In light of this, in
addition to above-mentioned need for resolution and precision, the speed and sophistication in communication
between litho and metrology (feedback control) are also becoming increasingly crucial. An effective sampling
strategy for metrology plays a big role in order to achieve this.
This study discusses results from above mentioned scatterometry-based platform in light of sampling optimization.
For overlay, various sampling schemes (dense / sparse combinations as well as inter and intra field schemes) were
used on many production lots. The effectiveness of such sample schemes were studied to reveal an ideal sampling
scheme that can result in 0.5nm to 1nm gain in overlay control (compare to today's practice). Moreover, cycle time
contribution of metrology (at litho) in overall cycle time of a full process flow was investigated and quantified with
the concept of integrated metrology. Results indicate a cycle time reduction per layer (if an integrated concept is
used) of 3 to 5 hours, which can easily add up to several days of total cycle time reduction for a fab.
In this paper we present a methodology to investigate and optimize the CD balance between the four
features of a final 32nm lines and space pattern created by spacer pitch doubling.
Metrology (SEM and scatterometry) was optimized to measure and separate the two lines and the
two spaces of the 32nm features. In case a space unbalance emerged during the various processing
steps such as etch and deposition, this was compensated by calculating and feed-back local dose
offsets to the scanner. For the spacer process used in this study we observe 20..40% improvement in
space CDU and space balance, when applying the dose corrections.
Advanced Process Control (APC) on overlay is in use for high-volume production fabs with enough data available to statistically filter out noise contributions. In a foundry that is characterized by multiple products, each with a low production volume, very limited data is available per product. With the proposed advanced process control system we want to solve the issues related to this low amount of data by using data from lots that have a different history, e.g. lots that are exposed on other machines or lots from other products. To be able to do this production data is first corrected for machine contributions by use of monitor data for each machine. The resulting estimated process induced errors are maintained for all products and all layers in a database with reference to used machines, layers, product type and process family. The process induced errors for each lot are selected from the database by sharing available data that is expected to behave most similar. The proposed advanced process control system is partially implemented in production for a couple of layers. Simulations are run on more layers to test the data sharing concept. The simulation
results are in reasonable agreement with actual product measurements and predict that the advanced process control system performs similar for lots for which the proposed data sharing concept is used as for lots for which the identical context is available.
Overlay budgets are getting tighter within 300 mm volume production and as a consequence the process effects on alignment and off-line metrology becomes more important. In a short loop experiment, with cleared reference marks in each image field, the isolated effect of processing was measured with a sub-nanometer accuracy. The examined processes are Shallow Trench Isolation (STI), Tungsten-Chemical Mechanical Processing (W-CMP) and resist spinning. The alignment measurements were done on an ASML TWINSCANT scanner and the off-line metrology measurements on a KLA Tencor. Mark type and mark position dependency of the process effects are analyzed. The mean plus 3 (sigma) of the maximum overlay after correcting batch average wafer parameters is used as an overlay performance indicator (OPI). 3 (sigma) residuals to the wafer-model are used as an indicator of the noise that is added by the process. The results are in agreement with existing knowledge of process effects on 200 mm wafers. The W-CMP process introduces an additional wafer rotation and scaling that is similar for alignment marks and metrology targets. The effects depend on the mark type; in general they get less severe for higher spatial frequencies. For a 7th order alignment mark, the OPI measured about 12 nm and the added noise about 12 nm. For the examined metrology targets the OPI is about 20 nm with an added noise of about 90 nm. Two different types of alignment marks were tested in the STI process, i.e., zero layer marks and marks that were exposed together with the STI product. The overlay contribution due to processing on both types of alignment marks is very low (smaller than 5 nm OPI) and independent on mark type. Some flyers are observed fot the zero layer marks. The flyers can be explained by the residues of oxide and nitride that is left behind in the spaces of the alignment marks. Resist spinning is examined on single layer resist and resist with an organic Bottom Anti-Reflective Coating (BARC) underneath. Single layer resist showed scaling on unsegmented marks that disappears using higher diffraction orders and/or mark segmentation. Resist with a planarizing BARC caused additional effects on the wafer edge for measurements with the red laser signal. The effects disappear using the green laser of ATHENAT.
Advances in wafer processing techniques and the increase of wafer size to 300 mm present new challenges to overlay performance. This paper focuses on advances n the area of process-induced alignment accuracy using the ASML ATHENA alignment system. In the experiments, process variations were deliberately increased to characterize the influence of process-tool settings on wafer alignment performance. In the STI process flow, overlays of <32 nm on marks in silicon or marks in the STI layer have been achieved. In the back-end-of-line, aluminum layers exhibit a significant shift of alignment marks and off-line metrology targets. A geometrical model of the sputter tool is used to explain the origin of this effect. Possible improvements in process corrections are indicated. For the copper dual damascene process investigated here, the dielectrics are non-absorbing. Overlays of 25 nm on marks in silicon and 29 nm on marks in the metal layer are obtained. On 300 mm wafers, a new measurement method is capable of measuring process effects to an accuracy within 6.2 (3(sigma) ). This method is used to measure resist spin effects.
In our laser neural network (LNN), previously reported, a laser diode with an extended cavity is used to provide all- optical neural action. The neurons of the network are the longitudinal cavity modes of the laser. Weighted inputs are provided to these neurons via an optical matrix vector multiplier placed in the external cavity. A setup that uses a fast liquid crystal display and a loop mirror is used to expand the capabilities of the LNN to data switching applications. Up to 32 neurons can be defined having up to 12 inputs. As an example a 1:16 data decoder is demonstrated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.