High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-3nm technology node for the semiconductor industry. One of the critical challenges is to develop suitable EUV photoresists at high resolution with high sensitivity and low line-width roughness (LWR) at reduced film thickness needed for high-NA by its reduced depth of focus (~1/NA2). The resist performance is generally limited by the resolution-LWR sensitivity (RLS) tradeoff, and it is critical to find new materials to support the future lithography nodes. EUV interference lithography (EUV-IL) is a powerful and efficient technique to test new materials at high resolution. In this work, we evaluate the performance of about 120 EUV resists, including molecular resist, inorganic resist, chemically-amplified resist (CAR), and chemically-amplified resist with a metal sensitizer (metal-CAR). Among all tested resists, we selected and compared six resists with the best performance in dose-to-size, line-width roughness, and maximal exposure latitude for 16 nm and 14 nm half-pitch (HP) lines/spaces (LS). A molecular resist showed the lowest dose to resolve HP 16 nm (29 mJ/cm2) and 14 nm (31 mJ/cm2), still featuring low LWRunbiased (2.7 nm and 3.1 nm, respectively). We observed that there is steady progress in EUV resist development: the LWR of the resists was improved in comparison with the results of the last two years as we noted that the amount of the resists within given dose and LWR threshold increased from 10 % to 33% for HP 14 nm. For contact holes, CAR gave the lowest LCDU of 2.2 nm for HP 20 nm with the lowest doses (21.1 mJ/cm2). While inorganic resists resolved pillars with highest resolution HP down to 18 nm with LCDU of 2.1 nm.
We investigated how the processing parameters, including post exposure baking (PEB), and resist film thickness (FT) influence the dose and line width roughness (LWR) of different types of EUV resists, targeted for the high-NA EUV lithography. We compared the dose and LWR of molecular, inorganic and CAR resists at half-pitch (HP) of 16 and 14 nm for different PEB temperatures. The results show that without PEB or at lower PEB temperature, resists require higher doses, as expected. We also observed the different behavior of various resist platforms in response to variation of the film thickness. The results showed that there is a room for the optimization of the processing parameters to improve dose and LWR of molecular, inorganic and CAR resists for line/space printing at high resolution.
Using high-resolution extreme ultraviolet interference lithography (EUV-IL), we investigated contact hole/pillars printing performance of several EUV resist platforms for the high-NA EUV lithography. We compared the dose and local critical dimension uniformity (LCDU) of the three chemically-amplified resists (CARs) with the best performance for printing contact holes (CHs) at half pitch (HP) of 24 and 20 nm. One of the CARs showed the lowest LCDU, 2.3 and 2.2 nm with lowest dose 16.4 and 21.1 mJ/cm2 for HP 24 and 20 nm, respectively. With the inorganic resist we obtained 38.8 mJ/cm2 with an LCDU of 1.3 nm for HP 20 nm pillars. We have also studied the effects of the resist thickness and post-exposure baking (PEB) temperature on the dose and LCDU. These results show that there are promising CAR and non-CAR resists for CH printing towards high-NA EUVL.
High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-7 nm nodes for the semiconductor industry. One of the critical challenges is to develop suitable EUV resists at high resolution with high sensitivity and low line-edge roughness (LER). The resist performance is generally limited by the resolution-LER-sensitivity (RLS) tradeoff and it is critical to find new resists that have a performance beyond this tradeoff. EUV interference lithography (EUV-IL) is a powerful and efficient technique that can print high resolution: half pitch (HP) down to 6 nm nanostructures. In this work, we evaluate the performance of the EUV resists, including molecular resist, inorganic resist, chemically-amplified (CAR) and metal sensitizer chemically-amplified resist (Metal-CAR). Six resists with the best performance have been compared in dose-to-size, line-edge roughness, exposure latitude for half pitch 16 nm and 14 nm. The molecular resist A showed lowest dose to resolve HP 16 nm (35 mJ/cm2) and 14 nm (41 mJ/cm2) but with high line edge roughness (LER 3.5 nm). CAR resist C provided lowest LER 1.9 and 1.8 nm for HP 16 nm and HP 14 nm, respectively, but with higher doses 74 mJ/cm2 (HP 16 nm) and 69 mJ/cm2 (HP 14 nm). The inorganic resist showed comprehensive good performance, giving low LER of 2.1 nm with 50 mJ/cm2 and 42 mJ/cm2 for HP 16 nm and HP 14 nm, respectively. Using the simplified Z-factor model, we showed that the LER of the resists was improved over the last two years. As the inorganic resist could resolve HP 11 nm with dose 67 mJ/cm2, we conclude it to be the current best candidate to partially resolve the RLS tradeoff problem and could be the potential EUV resist for semiconductor technological node printing.
For more than a decade, the semiconductor manufacturing industry has anticipated the introduction of Extreme Ultraviolet Lithography (EUVL) into high-volume manufacturing (HVM). The readiness of the supporting EUV resists is one of the requirements for HVM. While the industry is planning to introduce EUVL into HVM at 7 nm node, it is important to address the availability of the resists for future generations and in particular for the high-NA EUVL which will have the patterning capability down to 8 nm half-pitch. In this study we report on the performance of promising EUV resists evaluated by EUV interference lithography (EUV-IL) at the Swiss Light Source (SLS) at the Paul Scherrer Institut (PSI). We evaluated EUV resists that are being developed as candidate materials for future technology nodes and we assessed their potential for high-NA EUV lithography. Several new chemically-amplified resists (CARs) and non-CAR resists have been investigated with the aim to resolve patterns down to 10 nm hp. While, up to now, CARs performance reached down to 13 nm half pitch (hp) only, we report about a recent CAR that can partially resolve lines down to 11 nm hp. Moreover, some other non-CAR resists have achieved resolutions down to 10 nm. We evaluated essential parameters, such as critical dimension (CD) and line edge roughness as a function of dose and we estimated the exposure latitude (EL). Furthermore, we report on the ultimate extendibility of CAR platform materials in manufacturing, and on novel resist platforms developed to address the challenges in the patterning at hp ≤ 10 nm.
As EUV lithography moves toward high-volume manufacturing phase, one of the key factors determining the throughput and yield is the resist performance, i.e. resolution, sensitivity, and line-edge roughness. At Paul Scherrer Institute (PSI), we extensively work on EUV resist performance issues. For this purpose, we use the PSI’s EUV interference lithography (IL) tool in which a coherent beam with 13.5 nm wavelength is used to produce a well-defined periodic aerial image with virtually 100% contrast and large depth-of-focus. In this study, we report our recent results on the printability of high-resolution lines/spaces pattern down to 11 nm half-pitch (HP) with a chemically amplified resist (CAR). Although we demonstrate well-resolved 11 nm HP patterning, further improvement of the CAR’s performance in terms of pattern collapse and line-edge roughness is needed. Moreover, these results are achieved with EUV-IL tool which has a fundamentally different aerial image formation than the NXE scanner. Although EUV-IL is a powerful tool to evaluate the resist’s ultimate patterning capabilities for early optimization, what is ultimately important is the performance under manufacturing conditions, i.e. at the scanner. Therefore, we address this issue by evaluating the resist performance as a function of the contrast and resolution of the aerial image in a controlled manner, which is possible with the EUV-IL tool. In addition, we compare the performance of the state-of-the-art EUV resists using EUV-IL tool and NXE scanner and attempt to match the data obtained from EUV-IL with the performance of the NXE scanners.
Extreme UV lithography (EUVL) has gained considerable attention for several decades as a potential technology for the semiconductor industry and it is now close to being adopted in high-volume manufacturing. At Paul Scherrer Institute (PSI), we have focused our attention on EUV resist performance issues by testing available high-performance EUV resists in the framework of a joint collaboration with ASML. For this purpose, we use the grating-based EUV-IL setup installed at the Swiss Light Source (SLS) at PSI, in which a coherent beam with 13.5 nm wavelength is used to produce a periodic aerial image with virtually 100% contrast and large depth of focus. Interference lithography is a relatively simple technique and it does not require many optical components, therefore the unintended flare is minimized and the aerial image is well-defined sinusoidal pattern. For the collaborative work between PSI and ASML, exposures are being performed on the EUV-IL exposure tool at PSI. For better quantitative comparison to the NXE scanner results, it is targeted to determine the actual NILS of the EUV-IL exposure tool at PSI. Ultimately, any resist-related metrology must be aligned and compared with the performance of EUV scanners. Moreover, EUV-IL is a powerful method for evaluating the resist performance and a resist which performs well with EUV-IL, shows, in general, also good performance with NXE scanners. However, a quantitative prediction of the performance based on EUV-IL measurements has not been possible due to the differences in aerial image formation. In this work, we aim to study the performance of EUV resists with different aerial images. For this purpose, after the real interference pattern exposure, we overlay a flat field exposure to emulate different levels of contrast. Finally, the results are compared with data obtained from EUV scanner. This study will enable not only match the data obtained from EUV- IL at PSI with the performance of NXE scanners, but also a better understanding of resist fundamentals by studying the effects of the aerial image on resist performance by changing the aerial image contrast in a controlled manner using EUV-IL.
Extreme ultraviolet lithography (EUVL, λ = 13.5 nm) being the most likely candidate to manufacture electronic devices for future technology nodes is to be introduced in high volume manufacturing (HVM) at the 7 nm logic node, at least at critical lithography levels. With this impending introduction, it is clear that excellent resist performance at ultra-high printing resolutions (below 20 nm line/space L/S) is ever more pressing. Nonetheless, EUVL has faced many technical challenges towards this paradigm shift to a new lithography wavelength platform. Since the inception of chemically amplified resists (CARs) they have been the base upon which state-of-the art photoresist technology has been developed from. Resist performance as measured in terms of printing resolution (R), line edge roughness (LER), sensitivity (D or exposure dose) and exposure latitude (EL) needs to be improved but there are well known trade-off relationships (LRS trade-off) among these parameters for CARs that hamper their simultaneous enhancement. Here, we present some of the most promising EUVL materials tested by EUV interference lithography (EUV-IL) with the aim of resolving features down to 11 nm half-pitch (HP), while focusing on resist performance at 16 and 13 nm HP as needed for the 7 and 5 nm node, respectively. EUV-IL has enabled the characterization and development of new resist materials before commercial EUV exposure tools become available and is therefore a powerful research and development tool. With EUV-IL, highresolution periodic images can be printed by the interference of two or more spatially coherent beams through a transmission-diffraction grating mask. For this reason, our experiments have been performed by EUV-IL at Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI). Having the opportunity to test hundreds of EUVL materials from vendors and research partners from all over the world, PSI is able to give a global update on some of the most promising materials tested.
The goal of this work intends to explore the effects of different acid concentrations on LCDU and to utilize these experimental data to validate the LCDU analytical model for CAR with the final aim to predict the chemical limits of CAR. In this work, effects of acid deprotection, acid diffusion, acid-base interaction and PEB temperature on LCDU are studied by varying the PAG acidity, size, loading and quencher loading in chemically amplified resist. It was found PAG acidity, PAG anion size, quencher loading and PEB have significant influences on LCDU, while PAG loading has less significant influences. The EUV experimental results were then utilized to validate the LCDU analytical model. The model assumes that LCDU is directly proportional to normalized dose sensitivity (NDS) and photon-acid statistics, namely the photon or acid counting within blur range. In the above design of experience, PAG loading, quencher loading and PEB temperature affect resist sensitivity as well as diffusion length or blur length. Although acid diffusion reduction leads to shorter blur length thus smaller photon counting area, dose increment contributes to higher photon density in the counting area. Therefore overall acid and photon statistics is improved and LCDU generally decreased with reduced acid diffusion. However, such benefits disappear at certain level and the lower limit of LCDU of this resist platform is observed. Besides acid diffusion, NDS impacts LCDU through as well, which can be achieved by varying the PAG type. In summary, this work help to understand how to manipulate different resist compositions to impact NDS and acid diffusion in order to improve LCDU.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.