Extreme ultraviolet (EUV) lithography is seen as a main candidate for production of future generation computer
technology. Due to the short wavelength of EUV light (≈ 13 nm) novel reflective masks have to be used in the
production process. A prerequisite to meet the high quality requirements for these EUV masks is a simple and
accurate method for absorber pattern profile characterization.
In our previous work we demonstrated that the Finite Element Method (FEM) is very well suited for the simulation
of EUV scatterometry and can be used to reconstruct EUV mask profiles from experimental scatterometric
data.
In this contribution we apply an indirect metrology method to periodic EUV line masks with different critical
dimensions (140 nm and 540 nm) over a large range of duty cycles (1:2, ... , 1:20). We quantitatively compare
the reconstructed absorber pattern parameters to values obtained from direct AFM and CD-SEM measurements.
We analyze the reliability of the reconstruction for the given experimental data. For the CD of the absorber
lines, the comparison shows agreement of the order of 1nm.
Furthermore we discuss special numerical techniques like domain decomposition algorithms and high order
finite elements and their importance for fast and accurate solution of the inverse problem.
Scatterometry, the analysis of light diffracted from a periodic structure, is a versatile metrology for characterizing
periodic structures, regarding critical dimension (CD) and other profile properties. For extreme ultraviolet (EUV) masks,
only EUV radiation provides direct information on the mask performance comparable to the operating regime in an EUV
lithography tool. With respect to the small feature dimensions on EUV masks, the short wavelength of EUV is also
advantageous since it increases the sensitivity for small structural details. Measurements using PTB's EUV reflectometer
at the storage ring BESSY II showed that it is feasible to derive information on the absorber line profile in periodic areas
of lines and spaces by means of rigorous numerical modeling with the finite element method (FEM). A prototype EUV
mask with fields of nominally identical lines was used for the measurements. In this contribution we correlate the
scatterometry data to CD-SEM and surface nano probe measurements of the line profiles as provided by the mask
supplier. We discuss status of the determination of CD and side-wall geometry by scatterometry using rigorous FEM
calculations of EUV diffraction and directions for further investigations.
Scatterometry, the analysis of light diffracted from a periodic structure, is a versatile metrology for characterizing
periodic structures, regarding critical dimension (CD) and other profile properties. For extreme ultraviolet (EUV) masks,
only EUV radiation provides direct information on the mask performance comparable to the operating regime in an EUV
lithography tool. With respect to the small feature dimensions on EUV masks, the short wavelength of EUV is also
advantageous since it provides more diffraction orders as compared to UV. First measurements using PTB's EUV
reflectometer at the storage ring BESSY II showed that it is feasible to derive information on the line profile in periodic
areas of lines and spaces by means of rigorous numerical modeling. A prototype EUV mask with a matrix of test fields
each divided into subfields containing among others test fields with lines & spaces was used for the measurements. In this
contribution we summarize our present results in determining line profile parameters using scatterometry and
reflectometry to provide the input data for the determination of CD and side-wall geometry using rigorous calculations of
EUV diffraction. Particularly, we present a first investigation on the influence of line edge roughness and CD uniformity
by correlating in-plane scatterometry data for the discrete diffraction orders corresponding to the pitch of the structure to
out-of-plane measurements of diffusely scattered light induced by line edge roughness and CD uniformity. We
demonstrate the influence of diffuse scattering on the determination of CD and side-wall geometry using only the
discrete in-plane diffraction orders. To this aim we perform finite element (FEM) simulations on 2D computational domains.
Extreme ultraviolet (EUV) lithography is seen as the main candidate for production of next generation computer
technology. Due to the short wavelength of EUV light (≈ 13 nm) novel reflective masks have to be used in the
production process. The high quality requirements for these EUV masks make it necessary to measure and
characterize their pattern profile.
Here we present numerical simulations of EUV masks with the finite element method (FEM) which allow the
reconstruction of geometrical mask parameters like critical dimension (CD), sidewall angles, layer thicknesses
from experimental scatterometry data.1 Special numerical techniques like domain decomposition algorithms and
high order finite elements become very important to obtain accurate numerical results in small computational
time.
We analyze the sensitivity of scatterometry with respect to the geometrical line profile. We demonstrate the
determination of line profiles from experimental scatterometry data and compare our values to direct microscopic
measurements using CD-SEM and AFM.
With decreasing Critical Dimensions (CD), the negative influence of line edge roughness (LER) and line-width
roughness (LWR) on CD uniformity and mean-to-target CD becomes more pronounced, since there is no corresponding
reduction of roughness with dimension reduction. This applies to wafer metrology as well as to mask metrology. In
order to better understand the types of roughness as well as the impact of the CD-SEM roughness measurement
capabilities on the control of the mask process, the sensitivity and accuracy of the roughness analysis were qualified by
comparing the measured mask roughness to the design for a dedicated LER test mask. This comparison is done for
different LER amplitude and periodicity values and for reference structures without nominal LER using the built-in CD-SEM
algorithms for LER characterization.
We present rigorous simulations of EUV masks with technological imperfections like side-wall angles and corner roundings. We perform an optimization of two different geometrical parameters in order to fit the numerical results to results obtained from experimental scatterometry measurements. For the numerical simulations we use an adaptive finite element approach on irregular meshes. This gives us the opportunity to model geometrical structures accurately. Moreover we comment on the use of domain decomposition techniques for EUV mask simulations. Geometric mask parameters have a great influence on the diffraction pattern. We show that using accurate simulation tools it is possible to deduce the relevant geometrical parameters of EUV masks from scatterometry measurements. This work results from a collaboration between AMTC (mask fabrication), Physikalisch-Technische Bundesanstalt (scatterometry) and ZIB/JCMwave (numerical simulation).
In the framework of the European EXTUMASK project, the Advanced Mask Technology Center in Dresden (AMTC) has established in close collaboration with the Institute of Microelectronics in Stuttgart (IMS-Chips) an integrated mask process suited to manufacture EUV masks for the first full field EUV scanner, the ASML α-demo tool. The first product resulting from this process is the ASML set-up mask, an EUV mask designed to realize the tool set-up.
The integrated process was developed based on dummy EUV blank material received from Schott Lithotec in Meiningen (Germany). These blanks have a TaN-based absorber layer and a SiO2 buffer layer. During process development the e-beam lithographic behaviour as well as the patterning performance of the material were studied and tuned to meet first EUV mask specifications.
For production of the ASML set-up mask the new process was applied to a high performance EUV blank from Schott Lithotec. This blank has absorber and buffer layers identical to the dummy blanks but a multilayer is embedded which is deposited on an LTEM substrate. The actinic behaviour of the multilayer and the flatness of the substrate were tuned to match the required mask specifications. In this article we report on the development of the mask manufacturing process and show performance data of produced EUV full field scanner masks. Thereby, special attention is given to the ASML set-up mask.
ASML's first EUV alpha demo tool (ADT) is ready for lithographic set up, driving the need for qualified and fully compliant EUV masks. EUV reflection masks are different in blank and mask processes compared to current technologies e.g. masks for 193nm. Although in recent years individual EUV mask parameters have been demonstrated, it is only with the fabrication on the ADT mask set that fully compliant masks have been made. In this paper we discuss the typical requirements of a EUV full-field mask, and show first results from achieving the important milestone of fabricating EUV masks.
Line edge roughness (LER) has become a standard topic in the semiconductor industry for its possible yield impact on wafer production. Recently a number of studies address its measurement process to increase the reliability of results. Here, we investigated roughness on photo lithographic masks, which is the blue print for wafer production. It is shown that LER influences feature uniformity and thus has a considerable impact on overall mask production yield. To determine the roughness parameters we varied measurement parameters on a scanning electron microscope to optimize reproducibility and repeatability of the obtained values. Two parameters dominate the LER values. The first parameter is the length used to average the signal from the scanning electron microscope and to obtain the position of a single edge point. Good results for this so called summing length were obtained for values above 100 nm. The second important parameter is the total length of the investigated line that is used to calculate the LER. Here, we found that the increase of LER values with increasing investigation length is similar to the well-established behavior on wafers. It was found that the average LER value calculated from various sites saturates at investigation lengths larger than 10 μm, whereas single LER results show no scattering within measurement precision for investigation lengths larger than 30 μm independently of mask position. In comparison to similar investigation on wafer both the summing length as well as the investigation length have to be chosen about one magnitude larger. It is suggested that the multi exposure process of mask creates roughness on length scales of the order of several micrometers.
Extreme Ultraviolet Lithography (EUVL) is the favourite next generation lithography candidate for IC device manufacturing with feature sizes beyond 32nm.
Different stacks and manufacturing concepts have been published for the fabrication of the reflective EUVL masks.
Patterning processes for two different absorber-buffer combinations on top of the reflective multi layer mirror have been developed. A TaN/SiO2 absorber-buffer stack was provided by supplier A and TaBN/Cr by supplier B. In addition both absorbers were covered by an anti reflective coating (ARC) layer. An e-beam patterned 300nm thick film of Fuji FEP171 was used as resist mask.
We optimized the etching processes for maximum selectivities between absorber, buffer and capping layers on the one hand and rectangular profiles and low etch bias on the other hand. While both TaN based absorbers have been dry etched in an UNAXIS mask etcher III, wet and dry etch steps have been evaluated for the two different buffer layers. The minimum feature size of lines and holes in our test designs was 100nm.
After freezing the processes a proximity correction was determined considering both, the influence of electron scattering due to e-beam exposure and the influence of the patterning steps. Due to the correction an outstanding linearity and iso/dense bias on different test designs was achieved.
Various masks for printing experiments at the small-field Micro Exposure Tool (MET) in Berkeley and the fabrication of the ASML α-tool setup mask within the European MEDEA+ EXTUMASK project were done using the developed processes.
Finally, we will compare and discuss the results of the two stack approaches.
Due to the non-telecentricity of the EUV illumination, the EUV mask flatness budget dictates the use of an electrostatic chuck in the exposure tool. Since the mask backside flattening provided by the electrostatic chuck in the exposure tool is very different from the 3-point mounts currently employed to hold reticles in pattern generation and registration measurement tools, this raises the question of which mounting techniques to apply in future patterning and registration tools. In case drastic changes need to be made to the tool configurations, it is important to know, and as early as possible, whether backside chucking of reticles, via an electrostatic or vacuum chuck, is absolutely required or if a 3-point mounting scheme can suffice in these tools. Using finite element simulations, the effects on EUV mask image placement of stressed layers and their patterning, as well as substrate and chuck non-flatness were predicted for these different conditions. The results can be used to calculate image placement error budgets and determine what substrate and blank specifications are needed for the implementation of EUV at the 32-nm node.
KEYWORDS: Critical dimension metrology, Scanning electron microscopy, Monte Carlo methods, Extreme ultraviolet, Photomasks, Detection and tracking algorithms, Silicon, Electron beams, Extreme ultraviolet lithography, Signal detection
For extreme ultraviolet lithography (EUVL) the absorber binary mask is until now the most promising mask type. Since at EUV only reflective masks are possible, EUVL will introduce new materials for mask manufacturing. In addition it is likely that the pattern of an EUV mask will consist of a structured double layer system. Therefore, mask CD-SEM metrology for EUVL has to deal with the contrast of rather new materials and has to face a more complex mask pattern topography situation. Using a Monte Carlo model, we simulate the SEM-signals emerging from a given EUV mask pattern topography while scanned by the electron beam of a SEM. The simulation is tuned to closely match the experimental situation of a commercial CD-SEM. Generated SEM images are analyzed by means of a commercial CD-algorithm and a peak detection CD-algorithm. Knowing the exact pattern shape that are fed into the simulation, we determine the effect of specific pattern profile changes on SEM-signal and algorithm specific CD.
Several masks have been fabricated and exposed with the small-field Micro Exposure Tool (MET) at the Advanced Light Source (ALS) synchrotron in Berkeley using EUV radiation at 13.5 nm wavelength. Investigated mask types include two different absorber masks with TaN absorber as well as an etched multilayer mask. The resulting printing performance under different illumination conditions were studied by process window analysis on wafer level. Features with resolution of 60 nm and below were resolved with all masks. The TaN absorber masks with different stack thicknesses showed a similar size of process window. The differences in process windows for line patterns were analyzed for 60 nm patterns. The implications on the choice of optimum mask architecture are discussed.
The interface roughness of EUV mask multilayers was taken into account for the numerical calculation of blank reflectance, and models for the growth of oxide on Si capping layers were proposed and evaluated. The simulations were then checked and validated with reflectometry measurements at different steps of the mask blank processing as well as for various angles of incidence, and ellipsometry data on layer thickness. The benchmarked models made it possible to characterize EUV mask blank Mo/Si multilayers (period, thickness ratio, number of bilayers), as well as Si capping layers and native oxide layers from reflectivity measurements. This enabled the study, via a combination of experiments and simulations, of the growth of SiO2 layers, bringing deeper understanding into this phenomenon. Finally, the simulations were used to more properly optimize multilayers and quantify the influence of the exposure tool illumination numerical aperture. Having successfully matched reflectivity data around the actinic wavelength, it was also possible to extend the models to inspection wavelengths in order to predict inspection contrast values.
Three different architectures were compared as candidates for EUV lithography masks. Binary masks were fabricated using two different stacks of absorber materials and using a selective etching process to directly pattern the multilayer of the mask blank. To compare the effects of mask architecture on resist patterning, all three masks were used to print features into photoresist on the EUV micro-exposure tool (MET) at Lawrence Berkeley National Laboratory. Process windows, depth of focus, mask contrast at EUV, and horizontal and vertical line width bias were use as metrics to compare mask architecture. From printing experiments, a mask architecture using a tantalum nitride absorber stack exhibited the greatest depth of focus and process window of the three masks. Experimental results obtained using prototype masks are discussed in relation to simulations. After accounting for CD biasing on the masks, similar performance was found for all three mask architectures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.